+44 (0)24 7671 8970
More publications     •     Advertise with us     •     Contact us
 
News Article

Imec and ASML Enter Next Stage of EUV Lithography Collaboration

News

Leading research and innovation hub in nanoelectronics and digital technologies imec, and ASML Holding N.V. (ASML), the technology and market leader in lithographic equipment, announce the next step in their extensive collaboration. Together, they will accelerate the adoption of EUV lithography for high-volume production, including the current latest available equipment for EUV (0.33 Numerical Aperture, NA). Moreover, they will explore the potential of the next-generation high-NA EUV lithography to enable printing of even smaller nanoscale devices advancing semiconductor scaling towards the post 3 nanometer Logic node.

To this end, they will establish a joint high-NA EUV research lab.

Imec and ASML have been conducting joint research for almost thirty years. In 2014, they created a joint research center, the Advanced Patterning Center, to optimize lithography technology for advanced CMOS integration and to prepare the ecosystem to support advance patterning requirements. Now, they bring this cooperation to the next stage with the installation of ASML's most advanced and high-volume production dedicated EUV scanner (NXE:3400B) in imec's cleanroom. Utilizing imec's infrastructure and advanced technology platforms, imec and ASML researchers and partner companies can pro-actively analyze and solve technical challenges such as defects, reliability and yield, and as such accelerate the EUV technology's industrialization.

With a 250W light source, ASML's newest EUV system throughput will be more than 125 wafers per hour, one of the industry's most important requirements for high-volume production. The NXE:3400B will also be equipped with the latest alignment and leveling sensors, to enable optimal process control at this high throughput. This will facilitate the overlay matching of the NXE:3400B to that of the latest immersion scanner, NXT:2000i, that will also be installed in imec's cleanroom in 2019. In addition, ASML and imec will expand the metrology capability with new ASML YieldStar optical metrology and ASML-HMI Multi-electron beam metrology equipment, allowing more accurate and faster evaluation of nanoscale structures.

To continue on the leading edge of lithography development, a second component of the increasing collaboration is the foundation of a joint high-NA EUV research lab. In this lab, researchers from both organizations will experiment with the next generation of EUV lithography at higher NA. Systems with a higher NA project the EUV light onto the wafer under larger angles, improving resolution, and enabling printing of smaller features. More specifically, the new high-NA EUV system, EXE:5000, that will be installed in the joint research lab, will have an NA of 0.55 instead of 0.33 in current NXE:3400 EUV systems.

Already now, the first joint scientific projects to facilitate the introduction of high-NA EUV, are ongoing. In the joint research lab, ASML and imec will perform research on the manufacturing of the most advanced nanoscale devices by high-NA EUV and assist the ecosystem of equipment and material suppliers to prepare for the introduction of high-NA EUV technology to the industry.

Luc Van den hove, President and CEO of imec: "The new EUV scanners and ASML metrology equipment will allow our industry partners to perform collaborative research on the most advanced and industry relevant lithography and metrology equipment. When it comes to lithography, ASML is undoubtedly the world leader. ASML and imec have a nearly 30 year long tradition of joint research, leading to breakthrough patterning research to advance the semiconductor industry roadmap."

Martin van den Brink, President & Chief Technology Officer at ASML: "We are very pleased to take this next step in our long and deep collaboration with imec. Access to the most advanced semiconductor lithography tools is vital for exploration and determining the paths to future generations of semiconductor devices and applications. Imec's researchers and customers can be sure of the most up to date holistic lithography technology for many years to come. The semiconductor industry and consumers and businesses around the world will benefit from the fruits of imec's work over the next decade, resulting in continuing improvements in microchip cost and performance."

Purdue, imec, Indiana announce partnership
Resilinc partners with SEMI on supply chain resilience
NIO and NXP collaborate on 4D imaging radar deployment
Panasonic Industry digitally transforms with Blue Yonder
Global semiconductor sales decrease 8.7%
MIT engineers “grow” atomically thin transistors on top of computer chips
Keysight joins TSMC Open Innovation Platform 3DFabric Alliance
Leti Innovation Days to explore microelectronics’ transformational role
Quantum expansion
indie launches 'breakthrough' 120 GHz radar transceiver
Wafer fab equipment - facing uncertain times?
Renesas expands focus on India
Neuralink selects Takano Wafer Particle Measurement System
Micron reveals committee members
Avoiding unscheduled downtime in with Preventive Vacuum Service
NFC chip market size to surpass US$ 7.6 billion
Fujifilm breaks ground on new €30 million European expansion
Fraunhofer IIS/EAS selects Achronix embedded FPGAs
Siemens announces certifications for TSMC’s latest processes
EU Chips Act triggers further €7.4bn investment
ASE recognised for excellence by Texas Instruments
Atomera signs license agreement with STMicroelectronics
Gartner forecasts worldwide semiconductor revenue to decline 11% in 2023
CHIPS for America outlines vision for the National Semiconductor Technology Center
TSMC showcases new technology developments
Alphawave Semi showcases 3nm connectivity solutions
Greene Tweed to open new facility in Korea
Infineon enables next-generation automotive E/E architectures
Global AFM market to reach $861.5 million
Cepton expands proprietary chipset
Semtech adds two industry veterans to board of directors
Specialty gas expansion
×
Search the news archive

To close this popup you can press escape or click the close icon.
Logo
×
Logo
×
Register - Step 1

You may choose to subscribe to the Silicon Semiconductor Magazine, the Silicon Semiconductor Newsletter, or both. You may also request additional information if required, before submitting your application.


Please subscribe me to:

 

You chose the industry type of "Other"

Please enter the industry that you work in:
Please enter the industry that you work in: