+44 (0)24 7671 8970
More publications     •     Advertise with us     •     Contact us
 
News Article

Imec demonstrates 24 nanometer pitch lines with single exposure EUV lithography

News

Imec demonstrates 24nm pitch resolution with single exposure EUV lithography on ASML’s NXE:3400B scanner using a metal-oxide resist (MOR) and a chemically-amplified resist (CAR).

This week, at the SPIE Advanced Lithography Conference, imec, a world-leading research and innovation hub in nanoelectronics and digital technologies, and ASML, the world’s leading manufacturer of semiconductor lithography equipment, announce a breakthrough in printing narrow 24 nanometer (nm) pitch lines, corresponding to the dimensions of critical back-end-of-line metal layers of a 3 nm technology node process. By combining advanced imaging schemes, innovative resist materials and optimized settings on ASML’s NXE:3400B system in imec’s cleanroom, the system is capable of printing lines/spaces at 24 nm pitch in a single exposure step.

This imaging performance enables imec’s ecosystem of resist and patterning partners to utilize the NXE:3400B as a platform for early material development for future process nodes that will be enabled by ASML’s next-gen EUV system, which will first ship in 2022. The EXE:5000 will have a numerical aperture of 0.55, much higher than the 0.33 of current EUV systems like NXE:3400B.

Steven Scheer, VP Advanced Patterning Process and Materials at imec: “The innovation of imec and ASML in printing 24 nm pitch line spaces will provide the imec patterning ecosystem with the opportunity to test resist materials and provide process capabilities. The development of sensitive and stable resist materials will support the introduction of ASML’s next-gen EXE:5000 system.”

The NXE:3400B allows illumination of the mask under high incident angles. Under standard illumination the EUV mask tends to distort the wafer image under these high incident angles – creating poor resist profiles. Through a fundamental understanding of EUV mask effects, obtained from a joint imec/ASML study, the teams have found an innovative way to compensate for unwanted image distortion. In combination with an illumination optimization, this enabled the teams to print a pitch as small as 24 nm in a single EUV exposure step with a minimum exposure dose of 34J/cm2.

ASML’s NXE:3400B was installed in imec’s 300mm cleanroom in Q2 2019. It is now an important part of imec’s R&D activities.

In addition, first 300 mm wafer high-NA lithography is anticipated this October using another key enabler, imec’s attosecond analytical and interference lithography lab. The AttoLab is critical to explore the molecular dynamics, at an attosecond scale, during exposure of photoresist to EUV ionizing radiation and, using interference lithography, it offers the first 300mm high-NA resist imaging capability to print features down to pitches of 8 nm. The AttoLab will improve the fundamental understanding of 0.55 NA resist imaging, and, complementary to the NXE:3400B, further supports the supplier ecosystem to accelerate the development of high-NA compatible materials before the introduction of ASML’s High NA EXE:5000.

Purdue, imec, Indiana announce partnership
Resilinc partners with SEMI on supply chain resilience
NIO and NXP collaborate on 4D imaging radar deployment
Panasonic Industry digitally transforms with Blue Yonder
Global semiconductor sales decrease 8.7%
MIT engineers “grow” atomically thin transistors on top of computer chips
Keysight joins TSMC Open Innovation Platform 3DFabric Alliance
Leti Innovation Days to explore microelectronics’ transformational role
Quantum expansion
indie launches 'breakthrough' 120 GHz radar transceiver
Wafer fab equipment - facing uncertain times?
Renesas expands focus on India
Neuralink selects Takano Wafer Particle Measurement System
Micron reveals committee members
Avoiding unscheduled downtime in with Preventive Vacuum Service
NFC chip market size to surpass US$ 7.6 billion
Fujifilm breaks ground on new €30 million European expansion
Fraunhofer IIS/EAS selects Achronix embedded FPGAs
Siemens announces certifications for TSMC’s latest processes
EU Chips Act triggers further €7.4bn investment
ASE recognised for excellence by Texas Instruments
Atomera signs license agreement with STMicroelectronics
Gartner forecasts worldwide semiconductor revenue to decline 11% in 2023
CHIPS for America outlines vision for the National Semiconductor Technology Center
TSMC showcases new technology developments
Alphawave Semi showcases 3nm connectivity solutions
Greene Tweed to open new facility in Korea
Infineon enables next-generation automotive E/E architectures
Global AFM market to reach $861.5 million
Cepton expands proprietary chipset
Semtech adds two industry veterans to board of directors
Specialty gas expansion
×
Search the news archive

To close this popup you can press escape or click the close icon.
Logo
×
Logo
×
Register - Step 1

You may choose to subscribe to the Silicon Semiconductor Magazine, the Silicon Semiconductor Newsletter, or both. You may also request additional information if required, before submitting your application.


Please subscribe me to:

 

You chose the industry type of "Other"

Please enter the industry that you work in:
Please enter the industry that you work in: