+44 (0)24 7671 8970
More publications     •     Advertise with us     •     Contact us
 
News Article

EV Group Establishes Heterogeneous Integration Competence Center

News

The Heterogeneous Integration Competence Center combines EV Group's world-class wafer bonding, thin-wafer handling, and lithography products and expertise, as well as pilot-line production facilities and services at its state-of-the-art cleanroom facilities (such as the one shown here).

EV Group (EVG), a supplier of wafer bonding and lithography equipment for the MEMS, nanotechnology and semiconductor markets, has announced that it has established the Heterogeneous Integration Competence Center, which is designed to assist customers in leveraging EVG's process solutions and expertise to enable new and enhanced products and applications driven by advances in system integration and packaging. These include solutions and applications for high-performance computing and data centers, the Internet of Things (IoT), autonomous vehicles, medical and wearable devices, photonics and advanced sensors. The Heterogeneous Integration (HI) Competence Center combines EVG's world-class wafer bonding, thin-wafer handling, and lithography products and expertise, as well as pilot-line production facilities and services at its state-of-the-art cleanroom facilities at EVG's headquarters in Austria, supported by EVG's worldwide network of process technology teams. Through the HI Competence Center, EVG will help customers to accelerate technology development, minimize risk, and develop differentiating technologies and products through heterogeneous integration and advanced packaging all while guaranteeing the highest IP protection standards that are required for working on pre-release products.

The Heterogeneous Integration Competence Center™ combines EV Group's world-class wafer bonding, thin-wafer handling, and lithography products and expertise, as well as pilot-line production facilities and services at its state-of-the-art cleanroom facilities (such as the one shown here).

"Heterogeneous integration fuels new packaging architectures and demands new manufacturing technologies to support greater system and design flexibility, as well as increased performance and lower system design costs," stated Markus Wimplinger, corporate technology development & IP director of EV Group. "EVG's new HI Competence Center provides an open access innovation incubator for our customers and partners across the microelectronics supply chain to collaborate while pooling our solutions and process technology resources to shorten development cycles and time to market for innovative devices and applications enabled by heterogeneous integration."

EVG has an extensive background in heterogeneous integration, providing solutions for this key technology trend for more than 20 years. Among these are: permanent wafer bonding --including direct fusion and hybrid bonding for 3D packaging and metal bonding -- and die-to-wafer bonding with and without collective carriers for integration of III-V compound semiconductors and silicon as well as high-density 3D packaging; temporary bonding and debonding, including mechanical, slide-off/lift-off, and UV laser assisted; thin-wafer handling; and innovative lithography technologies, including mask aligners, coaters and developers, and maskless exposure/digital lithography.

Advanced Packaging Milestones

In the field of permanent bonding, EVG pioneered the patented SmartView® wafer-to-wafer alignment system more than 20 years ago, and has refined this technology over the years to support breakthrough technology advances such as backside-illuminated CMOS image sensors (BSI-CIS) and more recently the first demonstration of sub-100-nm wafer-to-wafer alignment overlay for hybrid bonding -- enabling devices such as 3D BSI-CIS and memory-on-logic stacking. EVG developed the first temporary bonding systems for ultra-thin wafers as early as 2001, which are essential for 3D/stacked die packaging, as well as revolutionized low-temperature laser debonding for ultra-thin and stacked fan-out packages.

EVG's HI Competence Center is designed to help enable new products and applications driven by advances in system integration and packaging. Shown here: chiplet integration by collective die-to-wafer hybrid bonding.

In lithography, EVG cemented its position as a recognized technology leader with the delivery of the first UV molding solutions for high-volume production of wafer-level optics more than a decade ago, and has since led the proliferation of nanoimprint lithography (NIL) to high-volume manufacturing (HVM). EVG continues to break speed and accuracy barriers in mask alignment lithography for advanced packaging and, more recently, unveiled the world's first highly scalable maskless exposure technology, which addresses emerging requirements in HVM back-end lithography.

Purdue, imec, Indiana announce partnership
Resilinc partners with SEMI on supply chain resilience
NIO and NXP collaborate on 4D imaging radar deployment
Panasonic Industry digitally transforms with Blue Yonder
Global semiconductor sales decrease 8.7%
MIT engineers “grow” atomically thin transistors on top of computer chips
Keysight joins TSMC Open Innovation Platform 3DFabric Alliance
Leti Innovation Days to explore microelectronics’ transformational role
Quantum expansion
indie launches 'breakthrough' 120 GHz radar transceiver
Wafer fab equipment - facing uncertain times?
Renesas expands focus on India
Neuralink selects Takano Wafer Particle Measurement System
Micron reveals committee members
Avoiding unscheduled downtime in with Preventive Vacuum Service
NFC chip market size to surpass US$ 7.6 billion
Fujifilm breaks ground on new €30 million European expansion
Fraunhofer IIS/EAS selects Achronix embedded FPGAs
Siemens announces certifications for TSMC’s latest processes
EU Chips Act triggers further €7.4bn investment
ASE recognised for excellence by Texas Instruments
Atomera signs license agreement with STMicroelectronics
Gartner forecasts worldwide semiconductor revenue to decline 11% in 2023
CHIPS for America outlines vision for the National Semiconductor Technology Center
TSMC showcases new technology developments
Alphawave Semi showcases 3nm connectivity solutions
Greene Tweed to open new facility in Korea
Infineon enables next-generation automotive E/E architectures
Global AFM market to reach $861.5 million
Cepton expands proprietary chipset
Semtech adds two industry veterans to board of directors
Specialty gas expansion
×
Search the news archive

To close this popup you can press escape or click the close icon.
Logo
×
Logo
×
Register - Step 1

You may choose to subscribe to the Silicon Semiconductor Magazine, the Silicon Semiconductor Newsletter, or both. You may also request additional information if required, before submitting your application.


Please subscribe me to:

 

You chose the industry type of "Other"

Please enter the industry that you work in:
Please enter the industry that you work in: