+44 (0)24 7671 8970
More publications     •     Advertise with us     •     Contact us
 
News Article

Onto Innovation Announces Three New Metrology Systems

News


The new Atlas V, IMPULSE V, and revolutionary Aspect optical systems are all powered by the new AI-Diffract software to enable process development and control for advanced 3D NAND, DRAM and leading Foundry/Logic devices


Onto Innovation Inc. announced the availability of a suite of process control metrology solutions for advanced device manufacturing. The suite of optical metrology solutions was developed for next generation semiconductor devices to enable high precision, high accuracy, and high productivity solutions for Gen6, 3D NAND, leading 5nm/3nm logic and advanced 1alpha DRAM devices. This new metrology suite represents the first exciting results from Onto Innovation’s enhanced R&D team to create a comprehensive solution set that capitalizes on its extensive leadership in optical metrology and enhancements in a new machine learning engine software for all of these applications.

Kevin Heidrich, senior vice president of marketing, commented, “We are very excited by our customers’ early responses to the performance and value of these new systems. Our measurement data analysis from advanced logic and memory devices has been found to be highly correlated to our customers’ metrology lab standards such as CD-SEM and TEM, which means they can continue to use high-speed optical metrology systems without the need to use significantly slower, and more costly, X-ray technology.”

He continued, “For the most advanced 3D NAND devices, the challenges to measure the very high aspect ratio channel holes and word lines, with aspect ratios much greater than 80:1, are forcing customers to consider slower X-ray tools and other destructive measurement techniques. Similarly, for the most advanced DRAM and logic devices, complex transistor structures and new materials at the 5nm and 3nm nodes have customers looking at new methods of metrology for the critical gate-all-around/nano-sheet processing steps. Onto Innovation has developed platforms that drive optical technology to the next level enabling the advantages of both high sensitivity and high productivity, providing customers information at the rate and quality that is needed for process development and high-volume manufacturing.”

3D NAND / DRAM / Logic Metrology

The new Atlas V metrology system is designed to measure several key steps that include buried features, not visible by CD-SEM and other techniques. The sensitivity of Atlas V metrology enables these critical dimensions to be measured with high accuracy and sensitivity, extending the capability of optical solutions for generations of devices and eliminating the need for other slower process control techniques.

Atlas V technology now enables the performance needed for customers’ development of gate-all-around devices and is over 100 times faster than X-ray solutions for these structures. Onto Innovation’s customers that have validated this new OCD technology and have already seen the speed and resolution that was once thought to be beyond the limits of optical technology.


The IMPULSE V system, built on a history of industry leading reliability, enables higher productivity and higher performance for next generation integrated metrology. The system is designed to work seamlessly with chemical mechanical polishing (CMP) systems to provide high throughput run-to-run control for critical process steps. The IMPULSE V system enables recipe inter-operability with the Atlas V system for uninterrupted production recipe setup and optimization. Utilizing the latest machine learning technology, the IMPULSE V technology supports on-device metrology enabling broad flexibility and high process coverage enabling higher productivity with broader process recipe coverage.


3D NAND Advanced Metrology

The new Aspect metrology system is a revolutionary optical platform that is designed for the current and future challenges of advanced 3D NAND devices. Memory density increases with both layer-pair scaling and tier stacking for memory stacks well over 200 pairs. The Aspect technology was designed with these future architectures and scaling strategies in mind. Aspect metrology is demonstrating performance superior to X-ray systems across multiple customer devices through a revolutionary infrared optical system providing full profiling capability to enable critical etch and deposition control, with the speed and process coverage that customers require.

All Products Powered by AI-Diffract Modelling Technology

AI-Diffract Technology is the key component of the new solution suite. It is the software analysis engine powering all of these leading metrology systems. This new product now provides up to 90% faster time to solution using the AI-Diffract engine which extends the industry leading NanoDiffract® software by leveraging extensive machine learning capabilities along with high fidelity modeling. The result is a simultaneous improvement in metrology performance along with a significant time to solution reduction.

All products are shipping to select customers this quarter, with broad availability by the fourth quarter. The company expects revenue to begin in the fourth quarter and ramp in the first half of 2021.

Purdue, imec, Indiana announce partnership
Resilinc partners with SEMI on supply chain resilience
NIO and NXP collaborate on 4D imaging radar deployment
Panasonic Industry digitally transforms with Blue Yonder
Global semiconductor sales decrease 8.7%
MIT engineers “grow” atomically thin transistors on top of computer chips
Keysight joins TSMC Open Innovation Platform 3DFabric Alliance
Leti Innovation Days to explore microelectronics’ transformational role
Quantum expansion
indie launches 'breakthrough' 120 GHz radar transceiver
Wafer fab equipment - facing uncertain times?
Renesas expands focus on India
Neuralink selects Takano Wafer Particle Measurement System
Micron reveals committee members
Avoiding unscheduled downtime in with Preventive Vacuum Service
NFC chip market size to surpass US$ 7.6 billion
Fujifilm breaks ground on new €30 million European expansion
Fraunhofer IIS/EAS selects Achronix embedded FPGAs
Siemens announces certifications for TSMC’s latest processes
EU Chips Act triggers further €7.4bn investment
ASE recognised for excellence by Texas Instruments
Atomera signs license agreement with STMicroelectronics
Gartner forecasts worldwide semiconductor revenue to decline 11% in 2023
CHIPS for America outlines vision for the National Semiconductor Technology Center
TSMC showcases new technology developments
Alphawave Semi showcases 3nm connectivity solutions
Greene Tweed to open new facility in Korea
Infineon enables next-generation automotive E/E architectures
Global AFM market to reach $861.5 million
Cepton expands proprietary chipset
Semtech adds two industry veterans to board of directors
Specialty gas expansion
×
Search the news archive

To close this popup you can press escape or click the close icon.
Logo
×
Logo
×
Register - Step 1

You may choose to subscribe to the Silicon Semiconductor Magazine, the Silicon Semiconductor Newsletter, or both. You may also request additional information if required, before submitting your application.


Please subscribe me to:

 

You chose the industry type of "Other"

Please enter the industry that you work in:
Please enter the industry that you work in: