+44 (0)24 7671 8970
More publications     •     Advertise with us     •     Contact us
*/
News Article

Lithography

News
Then and now: the continuing value of i-Line and KrF
While cutting edge technologies like immersion and EUV may make all the lithography headlines, i-Line and KrF systems remain the workhorses of the semiconductor industry. Rard de Leeuw of ASML explains how lithography suppliers are pushing these existing technologies to the limits in productivity, imaging and overlay to ensure they continue to deliver value for chip makers for years to come.

The history of lithography in semiconductor manufacturing is a story primarily focused on shrinking feature sizes in critical layers, typically driven by the development of new light sources that produce light at a shorter wavelength. Mercury lamps have been replaced by excimer lasers, firstly using krypton-fluoride (KrF) and later argon-fluoride (ArF). In wavelength terms, i-Line’s 365-nm wavelength has been overtaken by 248-nm and then 193-nm light. Today’s latest systems employ immersion ArF lithography, while extreme ultra violet (EUV) systems using light with a wavelength of 13.5-nm are well into the development process.

These wavelength shifts enabled the feature shrink demanded by industry roadmaps. ASML’s first i-Line stepper, the PAS-2500/40, was introduced in 1987 and was capable of resolving features down to 0.7 µm. In 1988, the first KrF tools appeared on the market with resolutions of 0.5 µm. This was quickly pushed down to 0.25 µm and even 180nm – making KrF the first lithography technology used to print features smaller than the wavelength of the imaging light source.

Since the late 1990s, critical feature sizes have moved well beyond the capabilities of i-Line or KrF tools. However, these technologies remain vital to IC fabrication, and will continue to be so for many years to come. In 2007, an estimated 70% of layers for the latest generation of ICs were exposed on i-Line or KrF tools. Looking forward a few years, that figure is predicted to remain above 60% at least until 2012.

""

Enhancing the value of mature technologies
So if i-Line and KrF aren’t at the cutting edge for resolution, why do they remain so popular, even for some critical features? The answer, not surprisingly, is cost. As the resolution capabilities of a lithography technology increase, so too does total cost per layer. This is due not only to the increased complexity of the lithography tools, but also to the higher price of consumables such as masks, photoresists, etc. ASML estimates that the current cost of i-Line processing is around US$3 per layer less than for KrF, which in turn is around 30% less expensive per layer than ArF.

Clearly i-Line and KrF still have a significant role to play in the industry; but only if they continue to offer value. That means lithography suppliers must continue to extend these technologies in terms of productivity, overlay and imaging. This is why ASML is committed to continually improving the value of ownership for these technologies.

ASML employs a modular approach to system creation. Its 300-mm i-Line and KrF scanners use the same TWINSCAN dual-stage platform as its more-advanced ArF and immersion tools. That gives users the option of upgrading their systems to higher-resolution technologies if their lithography needs change. In addition, innovations and enhancements developed for these high-resolution systems can be easily adapted into i-Line and KrF as new factory options and in field upgrades for installed systems.

In addition to resolution advances through ArF and EUV developments, the imaging and overlay performance of i-Line and KrF systems continues to improve. This is essential, because new technology nodes demand ever tighter overlay requirements for the front-end layers, which impacts the requirements for all the layers in the stack. Enhancing the overlay and resolution of i-Line and KrF allows manufacturers to keep producing more layers in these mature and lowercost technologies, even as the cutting edge resolutions move towards the single figure nanometer region.

KrF below 100 nm
ASML, for example, recently announced a highproductivity KrF scanner specifically designed to recapture mid-critical layers from ArF processing, thus helping manufacturers reduce their total cost of chip fabrication. Offering a numerical aperture (NA) of 0.93, the highest in the sector for a KrF tool, the XT:1000H is capable of resolving features down to 80nm.

The XT:1000H is able to offer such fine resolution, at a reasonable cost, because of its catadioptric lens design, which uses mirrors as well as lenses. This approach, which enables much higher numerical apertures from compact assemblies, is a perfect example of innovation coming from higher-resolution lithography technologies, since the catadioptric lens design was originally developed for ASML’s hyper-NA ArF immersion systems.

To support this ultra fine resolution, the XT:1000H also includes a number of enhancements originally developed to improve overlay in the company’s ArF scanners. As a result, it offers a single machine overlay of 6-nm and matched machine overlay of 10nm, identical to ASML’s TWINSCAN ArF systems. As a result, it provides similar production capabilities for many non critical layers, but with 30% reduced costs!

""

i-Line – the new quarter-micron technology
When it was first introduced, KrF lithography was billed as the “the quarter micron technology.” Yet even as the XT:1000H is extending KrF’s applications at the top end of the resolution range, the technology may soon have to pass its “quarter micron” title on to i-Line.

Already, ASML has extended the performance of its i-Line systems well beyond that technology’s traditional resolution limits of about 350-nm. When fitted with the appropriate options today’s standard i-Line tool from ASML, the TWINSCAN XT:400G, can resolve features down to 280-nm. Meanwhile, the high-performance XT:450G scanner takes i-Line resolution down to 220-nm, below that important quarter micron technology milestone.

Most i-Line users don’t yet exploit this advanced resolution capability. But over the next few years ASML expects chip makers to take increasing advantage of i-Line technology’s inherent cost benefits and shift some of their less demanding KrF lithography production to the latest high resolution i-Line scanners.

The need for speed
Higher productivity increases the value of ownership of a lithography system by allowing chip manufacturers to increase production or reach their output targets with fewer litho-cells. This leaves more valuable factory space for high-end litho systems, thereby optimizing the potential output value of the entire factory.

To a large extent, a lithography system’s maximum output is limited by three design factors:
● the speed of the stage that moves wafers through the system;
● the output of the light source;
● the transmission efficiency of the optics that guide the light from source to wafer.

By focusing on increasing the speed of the stages and the transmission efficiency of the optics in its scanners, ASML has managed to limit the light loss in the systems, thereby reducing the overall operating costs per wafer.

In recent years, these improvements have boosted the average productivity of ASML’s installed i-Line and KrF tools by almost 30%.

The increasing productivity of ASML’s i-Line scanners was demonstrated recently when a Taiwan-based chip maker used a TWINSCAN XT:400F scanner to set a world production record of 3,596 300-mm wafers in a single day. That’s almost 150 wafers per hour (wph) non-stop for 24 hours straight! The chip maker managed to exceed the tool manufacturer’s maximum specified throughput through a variety of adjustments to its manufacturing process and production equipment.

The chip maker only took delivery of its first ASML i-Line scanners about a year ago, and almost immediately began working with ASML’s applications-support staff to optimize its processes for maximum productivity. Within six months, the company had exceeded the machine’s specified maximum throughput, producing 3,300 wafers in a day (about 137 wph). Not satisfied with that achievement, however, the company continued pushing productivity to successively higher levels. In fact, by the time this article is published, it will almost certainly have beaten the 3,596 wafers-perday record. This is possible due not only to the system itself, but also because of the cooperation between ASML’s application-support team and the customer. Much of the productivity increase came from improving the interface between the scanner and the track. This has been a joint development between ASML and the track suppliers, who worked together to improve the timing of wafer movements and to enable the track to alert the scanner before each new wafer arrives, resulting in a more rapid handoff. In addition, the chip maker developed a less demanding “recipe” for certain layers of a particular chip type. This recipe took advantage of the largest possible exposure fields, low dose resists and the system’s high light output, as well as a chip design that ensured a whole number of die to fit within the exposure field.

One-day production records are impressive. But in the competitive world of semiconductor manufacturing, it’s sustained productivity that really counts. Earlier this year, a South Korean manufacturer announced it had produced over 1 million 300-mm wafers on a TWINSCAN XT:400E scanner in a single year. That equates to producing more than 2,700 wafers per day, every single day for 365 consecutive days, including any time the tool was down for maintenance.

This remarkable achievement demonstrates both the productivity and reliability of ASML’s scanners, as well as the chip maker’s high level of motivation and process optimization skills. The TWINSCAN XT:400E is no longer the newest or fastest ASML i-Line scanner. Yet with many of these tools still in production and working closely with ASML’s Korean customer-support organization, this user has become adept at maximizing their output while minimizing maintenance downtime.

Still going strong
No matter what the actual end use of the IC, a multi-lithographic technology strategy is often required for cost-effective IC fabrication. At the cutting-edge, most critical layers are resolved using either dry or immersion ArF, while mid-critical and non-critical layers are exposed with KrF and i-Line respectively. As the industry continues to move down the feature size roadmap, the pressure increases on tool suppliers to maintain technological developments throughout their portfolio to ensure that all layers can be imaged using the most cost-effective technology. Both i-Line and KrF have already gone well past their historical limits, but continuing developments look set to keep them going strong for years to come.

×
Search the news archive

To close this popup you can press escape or click the close icon.
Logo
×
Logo
×
Register - Step 1

You may choose to subscribe to the Silicon Semiconductor Magazine, the Silicon Semiconductor Newsletter, or both. You may also request additional information if required, before submitting your application.


Please subscribe me to:

 

You chose the industry type of "Other"

Please enter the industry that you work in:
Please enter the industry that you work in: