Info
Info
News Article

Single Wafer Renaissance

FOR A NUMBER OF YEARS THERE HAS BEEN INDUSTRY DISCUSSION ON THE MERITS OF SINGLE WAFER PROCESSING AS OPPOSED TO BATCH WAFER PROCESSING. ALTHOUGH THE BATTLE CONTINUES EARLY ANALYSIS SUGGEST SINGLE WAFER PROCESSING MAY BE FASTER OVERALL. HEINZ OYRER, DIRECTOR OF STRATEGIC MARKETING AT SEZ DISCUSSES THE BENEFITS OF THE NEW RANGE OF SINGLE WAFER CLEANERS TO COME FROM SEZ AND HOW THEY WILL UP THE ANTE FOR SINGLE WAFER PROCESSING.

 
















Single
Wafer Renaissance

 



FOR
A NUMBER OF YEARS THERE HAS BEEN INDUSTRY DISCUSSION ON THE MERITS OF
SINGLE WAFER PROCESSING AS OPPOSED TO BATCH WAFER PROCESSING. ALTHOUGH
THE BATTLE CONTINUES EARLY ANALYSIS SUGGEST SINGLE WAFER PROCESSING MAY
BE FASTER OVERALL. HEINZ OYRER, DIRECTOR OF STRATEGIC MARKETING AT SEZ
DISCUSSES THE BENEFITS OF THE NEW RANGE OF SINGLE WAFER CLEANERS TO COME
FROM SEZ AND HOW THEY WILL UP THE ANTE FOR SINGLE WAFER PROCESSING.

 








Today's
advanced consumer and business products are fueling the demand for
performance-driven chips—creating a number of challenges for both
chipmakers and equipment manufacturers alike. With the increasing
complexity of higher performing ICs, traditional processing techniques
are incapable of meeting the technical manufacturing requirements
of an increasing number of process steps. Signaling the industry's
quest to address chipmakers' rigorous processing challenges— one
prime example that has demonstrated tremendous success is rapid
thermal processing (RTP)—which has emerged as a key contender in
today's semiconductor race to bring faster, performance-packed devices
to market.


 









Figure
1: The wet processing market is split into back-end-of-line
(BEOL) and front-end-of-line (FEOL) cleaning processes










Specifically,
before RTP, all thermal processing was performed in conventional
batch furnaces, which process up to several hundred wafers simultaneously.
While this technology proved effective at one time, chipmakers encountered
significant technical constraints that made traditional thermal
processing impractical, and in many cases impossible.

Why?
In addition to producing highly efficient processing temperatures
to create the desired chemical reaction on the wafer surface without
deterioration caused by a longer heating cycle, there are a number
of manufacturing advantages that are responsible for driving RTP
technology into the mainstream market. RTP manufacturers believe
that the small chamber design makes it easier to eliminate the minute
impurities that can reduce yield. And, heating one wafer at a time
allows optimized process control for each wafer, while limiting
any accidental misprocessing to a single wafer. Conversely, batch
furnaces can process a large number of wafers simultaneously— potentially
compromising results for each wafer and risking misprocessing for
an entire wafer batch. RTP equipment manufacturers now incorporate
an increased number of proprietary design features in the tool for
a dramatic improvement with temperature uniformity and repeatability—significantly
boosting throughput and introducing to chipmakers a whole new world
of advances in terms of process performance and cost of ownership
(CoO). Once considered a revolutionary technology, RTP is now considered
the standard thermal process required to address the critical conditions
for smaller device geometries employing new materials.


 

 












Figure
2: Single-wafer processing is expected to grow from 20 percent
of the market to 32 percent by 2006



 

 

 

 

 

 

 

next
page
























 

A
change in the market

A
similar shift is now taking place in the wet clean market. Traditionally,
the wet clean market has been dominated by wet bench or batch processing,
where multiple wafers are cleaned simultaneously in the same chamber.
The market is currently undergoing a significant shift in technology
focus, however, as larger wafer sizes and shrinking design geometries
are driving semiconductor manufacturers to prefer single-wafer wet
clean processing as a means to minimize the risk of cross-contamination
during critical cleans. In addition, batch processing has suffered
both from poor yields for processes using copper and low-k dielectric
materials and unsatisfactory performance in cleaning high aspect
ratio structures, adding increasing impetus to industry demands
for highly productive single-wafer wet clean technology. New single-wafer
platforms with improved productivity and reduced CoO are helping
to accelerate the change to single-wafer processing.

The
wet processing market is typically split into backside processes
like film removal, back side clean and silicon etch applications;
back-end-of-line (BEOL) cleans, such as polymer residue removal;
and front-end-of-line (FEOL) cleans, such as pre-cleans and post-ash
cleans (see Fig. 1). Batch processing still dominates FEOL cleans,
especially for nitride and oxide removal, resist strip and high/low-k
material removal. Backside applications, however, are predominately
single wafer, as are 40 percent of all BEOL cleans.

In
addition to its superior ability to meet the cleaning requirements
for advanced manufacturing, single-wafer clean technology also enables
faster cycle times and increased flexibility in lot scheduling,
which results in reduced work in progress.

As
the industry transitions to 65-nm manufacturing, logic manufacturers
are expected to lead a shift to FEOL single-wafer cleans, followed
shortly by the memory manufacturers as single-wafer tool CoO improves.
According to a Dataquest report (January 2003),



 

 




Figure
3: SEZ's new Da Vinci DV-38F, a 300- mm single-wafer wet clean platform
for front-side polymer removal applications















 




Figure
4: The DV-38F tool's eight process chambers are set in two sets
of four chambers


 

the
total wet clean market was valued at approximately $1 billion (U.S.)
in 2002, a drastic decline of 40 percent from the previous year
that was driven by extreme pricing pressures due to the continuing
economic downturn.


As the industry transitions to 90-nm processing, wet cleaning has
become increasingly critical to semiconductor manufacturing. Based
on current assumptions of an industry recovery in 2004, industry
experts predict the wet clean market will grow to a minimum of $1.5
billion (U.S.) by 2005, a 50-percent increase.

Over
that same period, single-wafer processing is expected to grow from
20 percent of the market to 32 percent, a comparable 60 percent
increase (see Fig. 2). Interestingly, during the recent sharp decline
of the overall wet clean market, SEZ increased its market share
from 8.8 to almost 12 percent, a 36-percent increase despite the
overall market's 40-percent decline during the same period. SEZ's
increase in market share was solely due to single-wafer tool sales,
highlighting the growing demand for single-wafer wet processing
to meet advanced manufacturing requirements. The company currently
leads the single-wafer wet clean segment with a 70-percent market
share.

 

Single
wafer pioneers

SEZ
helped pioneer the single-wafer wet clean market, introducing its
first single-wafer tool in 1990 and its first 300-mm single-wafer
wet clean system in 1997. It has over 700 systems, representing
almost 1,000 wet clean chambers installed worldwide— totalling approximately
80 percent of all the single-wafer wet clean tools currently in
the field. Over 40 percent of the single-wafer systems SEZ sold
in 2002 were 300-mm tools, demonstrating the growing demand for
single-wafer processing in advanced manufacturing. The company's
newest tool, the DV-38F, a 300-mm single-wafer wet clean platform
for front-side polymer removal applications, is the first in its
new Da Vinci family (see Fig. 3).











 

Intended
for high-volume production at the 90-nm and smaller design nodes,
it is designed to address the emerging cleaning challenges posed
by shrinking geometries and new materials.

The
Da Vinci DV-38F tool leverages SEZ's proven spin processor technology
in eight chambers to deliver significant productivity and CoO improvements
over previous generations of SEZ single-wafer tools. Its combination
of high throughput and smaller system footprint significantly reduce
tool CoO, making it a highly attractive and cost-effective alternative
to wet bench tools.

Its
proprietary spin processor technology also helps to reduce tool
CoO by reducing overall chemical consumption. In fact, the DV-38F
tool's chemical consumption is less than either wet bench or competing
single-wafer tools using spray technology. In addition, the system's
chemistry modules are integrated into the tool, further reducing
overall system footprint.

The
system's eight process chambers are located in two independently
operating sets of four chambers on either side of the tool (see
Fig. 4). This feature allows the operator to shut down half of the
chambers for preventive maintenance, while continuing to process
wafers through the other four, significantly enhancing system uptime
and contributing to the system's high productivity. In addition,
a graphic user interface (GUI) makes the system easier to operate.

The
combination of shrinking design rules and new materials are driving
the industry to accelerate its transition from batch to single-wafer
processing for wet clean applications. The market segment for single-wafer
wet-clean systems is expected to grow from 20 to 32 percent of the
entire wet clean market over the next couple of years as a result
of this demand. That shift is expected to accelerate as single-wafer
systems approach the levels of productivity and CoO currently enjoyed
by batch tools. SEZ's new Da Vinci DV-38F wet clean system is the
first in a new family of single-wafer tools that deliver the combination
of high productivity, low CoO, tool flexibility and process control
required to meet requirements for semiconductor manufacturing at
90-nm and smaller design rules.



 

 

 

previous
page





AngelTech Live III: Join us on 12 April 2021!

AngelTech Live III will be broadcast on 12 April 2021, 10am BST, rebroadcast on 14 April (10am CTT) and 16 April (10am PST) and will feature online versions of the market-leading physical events: CS International and PIC International PLUS a brand new Silicon Semiconductor International Track!

Thanks to the great diversity of the semiconductor industry, we are always chasing new markets and developing a range of exciting technologies.

2021 is no different. Over the last few months interest in deep-UV LEDs has rocketed, due to its capability to disinfect and sanitise areas and combat Covid-19. We shall consider a roadmap for this device, along with technologies for boosting its output.

We shall also look at microLEDs, a display with many wonderful attributes, identifying processes for handling the mass transfer of tiny emitters that hold the key to commercialisation of this technology.

We shall also discuss electrification of transportation, underpinned by wide bandgap power electronics and supported by blue lasers that are ideal for processing copper.

Additional areas we will cover include the development of GaN ICs, to improve the reach of power electronics; the great strides that have been made with gallium oxide; and a look at new materials, such as cubic GaN and AlScN.

Having attracted 1500 delegates over the last 2 online summits, the 3rd event promises to be even bigger and better – with 3 interactive sessions over 1 day and will once again prove to be a key event across the semiconductor and photonic integrated circuits calendar.

So make sure you sign up today and discover the latest cutting edge developments across the compound semiconductor and integrated photonics value chain.

REGISTER FOR FREE

VIEW SESSIONS
AP&S Expands Management At Beginning Of 2021
Belgian Initiative For AI Lung Scan Analysis In Fight Against COVID-19 Goes European
K-Space Offers A New Accessory For Their In Situ Metrology Tools
Imec Demonstrates 20nm Pitch Line/Space Resist Imaging With High-NA EUV Interference Lithography
Can New Advances In CMOS Replace SCMOS Sensors In Biomedical Applications?
Obducat Receives Order For Fully Automated Resist Processing Tool From A Customer In Asia
Cadence Announces $5M Endowment To Advance Research
TEL Introduces Episode UL As The Next Generation Etch Platform
ASML Reports €14.0 Billion Net Sales
Panasonic Microelectronics Web Seminar
Changes In The Management Board Of 3D-Micromac AG
Tescan And 3D-Micromac Collaborate To Increase The Efficiency Of Failure Analysis Workflows
Siemens And ASE Enable Next-generation High Density Advanced Package Designs
Tower Semiconductor Announced Program Creating An Integrated-Laser-on-Silicon Photonics Foundry Process
South Korean Point Engineering Chooses ClassOne’s Solstice S8 For Advanced Semiconductor Plating
Onto Innovation Announces New Inspection Platform
New Plant To Manufacture Graphene Electronics
EV Group Establishes State-of-the-art Customer Training Facility
SUSS MicroTec Opens New Production Facility In Taiwan
GOODFELLOW Confirms Membership In The BSI UK Graphene Group
U.S. Department Of Defense Partners With GLOBALFOUNDRIES To Manufacture Secure Chips At Fab 8
Will Future Soldiers Be Made Of Semiconductor?
ITRI And DuPont Inaugurate Semiconductor Materials Lab
DISCO's Completion Of New Building At Nagano Works Chino Plant

Info
×
Search the news archive

To close this popup you can press escape or click the close icon.
Logo
×
Logo
×
Register - Step 1

You may choose to subscribe to the Silicon Semiconductor Magazine, the Silicon Semiconductor Newsletter, or both. You may also request additional information if required, before submitting your application.


Please subscribe me to:

 

You chose the industry type of "Other"

Please enter the industry that you work in:
Please enter the industry that you work in:
 
X
Info
X
Info
{taasPodcastNotification} Array
Live Event