Info
Info
News Article

Sales & Orders

EV Group (EVG) has shipped and successfully installed a 200mm hot embossing and nanoimprinting system at the CEA-LETI research centre in Grenoble, France.

The system will be used in collaboration between CEA-LETI and
CNRS-LTM on micro- and nanotechnology research in the area of biochip and
microfluidic devices.



The EVG520HE semi-automated hot embossing system creates ultra-fine
micrometre and nanometre scale features by pattern transfer from a stamp to
a polymer substrate.



Hot embossing is a low cost and flexible fabrication technique, which has
demonstrated polymer high aspect ratio microstructures as well as
nanoimprinting patterns.



The EVG520HE also has top- and bottom-heaters for low thermal stress between
stamps and substrates and 200mm-substrate capability. The tool is looking
for business in new fabrication techniques in the areas of BioMEMS,
microfluidics and microoptics.



The Nano Device Center of the Korea Institute of Science and Technology
(KIST) has placed an order for Aixtron's AIX 200/4-RF MOCVD system. The tool
will be equipped with an EpiTune II in-situ monitor. The tool will be used
for research on newly developed GaAs and InP based materials such as GaInAsN
for next generation long wavelength semiconductor lasers for broadband data
transmission in fibre communication networks.



Nantong Fujitsu Microelectronics in China has ordered 50 additional Nu-Tek
ball bonders from Kulicke & Soffa Industries. In addition, the IC packaging
and test company, Nantong Fujitsu, also expressed its intention that K&S
will be their major supplier of wire bonders over the next 12 month time
period.



The University of Alberta at Edmonton in Canada has installed a MicroLine
300 critical dimension measurement system from Micro-Metric. The system will
support the activities of the Nanosystems and MEMS Research Consortium
located at the university. The tool is capable of measuring feature sizes
down to one micron or less.



AngelTech Live III: Join us on 12 April 2021!

AngelTech Live III will be broadcast on 12 April 2021, 10am BST, rebroadcast on 14 April (10am CTT) and 16 April (10am PST) and will feature online versions of the market-leading physical events: CS International and PIC International PLUS a brand new Silicon Semiconductor International Track!

Thanks to the great diversity of the semiconductor industry, we are always chasing new markets and developing a range of exciting technologies.

2021 is no different. Over the last few months interest in deep-UV LEDs has rocketed, due to its capability to disinfect and sanitise areas and combat Covid-19. We shall consider a roadmap for this device, along with technologies for boosting its output.

We shall also look at microLEDs, a display with many wonderful attributes, identifying processes for handling the mass transfer of tiny emitters that hold the key to commercialisation of this technology.

We shall also discuss electrification of transportation, underpinned by wide bandgap power electronics and supported by blue lasers that are ideal for processing copper.

Additional areas we will cover include the development of GaN ICs, to improve the reach of power electronics; the great strides that have been made with gallium oxide; and a look at new materials, such as cubic GaN and AlScN.

Having attracted 1500 delegates over the last 2 online summits, the 3rd event promises to be even bigger and better – with 3 interactive sessions over 1 day and will once again prove to be a key event across the semiconductor and photonic integrated circuits calendar.

So make sure you sign up today and discover the latest cutting edge developments across the compound semiconductor and integrated photonics value chain.

REGISTER FOR FREE

VIEW SESSIONS
SUSS MicroTec Opens New Production Facility In Taiwan
Changes In The Management Board Of 3D-Micromac AG
EV Group Establishes State-of-the-art Customer Training Facility
Tower Semiconductor Announced Program Creating An Integrated-Laser-on-Silicon Photonics Foundry Process
Onto Innovation Announces New Inspection Platform
AP&S Expands Management At Beginning Of 2021
ITRI And DuPont Inaugurate Semiconductor Materials Lab
GOODFELLOW Confirms Membership In The BSI UK Graphene Group
New Plant To Manufacture Graphene Electronics
Cadence Announces $5M Endowment To Advance Research
Obducat Receives Order For Fully Automated Resist Processing Tool From A Customer In Asia
U.S. Department Of Defense Partners With GLOBALFOUNDRIES To Manufacture Secure Chips At Fab 8
Will Future Soldiers Be Made Of Semiconductor?
Belgian Initiative For AI Lung Scan Analysis In Fight Against COVID-19 Goes European
Tescan And 3D-Micromac Collaborate To Increase The Efficiency Of Failure Analysis Workflows
Can New Advances In CMOS Replace SCMOS Sensors In Biomedical Applications?
Panasonic Microelectronics Web Seminar
K-Space Offers A New Accessory For Their In Situ Metrology Tools
Siemens And ASE Enable Next-generation High Density Advanced Package Designs
ASML Reports €14.0 Billion Net Sales
TEL Introduces Episode UL As The Next Generation Etch Platform
DISCO's Completion Of New Building At Nagano Works Chino Plant
South Korean Point Engineering Chooses ClassOne’s Solstice S8 For Advanced Semiconductor Plating
Imec Demonstrates 20nm Pitch Line/Space Resist Imaging With High-NA EUV Interference Lithography

Info
×
Search the news archive

To close this popup you can press escape or click the close icon.
Logo
×
Logo
×
Register - Step 1

You may choose to subscribe to the Silicon Semiconductor Magazine, the Silicon Semiconductor Newsletter, or both. You may also request additional information if required, before submitting your application.


Please subscribe me to:

 

You chose the industry type of "Other"

Please enter the industry that you work in:
Please enter the industry that you work in:
 
X
Info
X
Info
{taasPodcastNotification} Array
Live Event