Info
Info
News Article

Laser Thermal Process Ready For Market

Ultratech says that it has successfully developed what it believes to be the IC industry's first commercial laser thermal processing (LTP) technology. The company believes that this will allow manufacturers to scale down to the 20nm technology node. Mike Cooke reports...
New technology often takes a long time to develop into commercial products. Ultratech believes that after nearly nine years of intensive development it is ready to reap the benefits of laser thermal processing (LTP). The US company claims that LTP is the ideal solution to achieve the ultra-shallow junctions needed for transistors designed on process technologies down to the 20nm node as defined by the International Technology Roadmap for Semiconductors (ITRS).



LTP uses laser heating that can be focused at specific areas of the wafer (Figure 1), rather than across the wafer as happens in furnaces or with rapid thermal processing (RTP). Ultratech's platform brings together projection optics as used in lithography with the new annealing technology.



The challenge



The basic parts of semiconductor devices are the electrical switches called transistors. These are built up with regions of semiconductor material that can be switched from conducting to insulating behaviour. In a pure state, silicon is a pretty awful conductor of electricity but by adding "doping" impurities its conductivity can be boosted by many orders of magnitude. Some of these impurities - designated "donors" - have spare electrons that can easily be released into conduction. Others - called "acceptors" - grab electrons from the silicon leaving an oppositely charged "hole" that can be passed around between the atoms and hence conduct charge. Boron is commonly used as an acceptor and phosphorous as a donor.



These dopants are typically introduced at the wafer crystal growing phase to create the substrate and later selectively through ion implantation. One problem with ion implantation is that it produces damage to the crystal substrate. This damage kills the conductivity. Heating the wafer can repair the crystal structure, but then the dopant atoms tend to diffuse away from where they were implanted. This smears the doping pattern needed to create transistors, reducing performance. This heating process is called annealing. Fast heating can reduce the amount of diffusion and rapid thermal processing technologies have displaced slower batch furnace processes since the mid-1990s.



A typical RTP step raises the wafer temperature to 1000-1100¡C for 1sec. However, significant dopant diffusion still takes place. Improved RTP would need annealing temperatures of 1300-1350¡C for even shorter time frames of the order of milliseconds. This raises the distinct possibility that the technique will not be much use beyond the 90nm technology node.



For each successive device generation, from 90nm through 20nm, requirements continue to tighten - sheet resistance must be effectively lower and junction depth increasingly shallow, with more abrupt and much higher activation of dopants needed to achieve the lower sheet resistance. Shallow junctions are particularly important for moving to higher performance, smaller devices.



Solution?



Laser spike annealing (LSA) is Ultratech's proposed solution to the annealing problem. This operates at near-instantaneous timeframes (10-200µsec), at temperatures up to 1350(C - just below silicon's melting point. Simulations suggest that a much improved dopant profile would result over RTP (Figure 2). The plot indicates that a much shallower abrupt junction with higher boron concentrations could be achieved as a result of the nominal diffusion that occurs for such fast heating. High boron concentration translates into higher conductivity - sheet resistances as low as 100½/square could be achievable.



Ultratech's LSA combines a continuous-wave (CW) laser with projection optics, aiming at ultra-shallow junction formation down to at least the 45nm node (Figure 1). The wafer is scanned under the stationary, shaped laser beam, mounted on an X-Y linear stage. A constant peak temperature is maintained by feeding back a pyrometer signal to a laser power attenuator. The process could enable highly uniform activation in the solid-phase state thus eliminating the need for any additional process steps.



The heating and cooling of the wafer surface occurs within milliseconds. With such time-scales, processes that can degrade device performance - such as boron penetration through oxide layers or transient enhanced diffusion - are suppressed. Ultratech has not observed any such degrading processes in its work, allowing it to claim a "near zero" thermal budget for the process. Since only the surface layer is heated (Figure 3), the bulk of the substrate does not rise to temperatures of more than 50¡C. In RTP, the substrate can reach 900-1050¡C.



A variable size laser-beam spot can be used to heat up localised areas on the wafer, as well as on individual die, without affecting the surrounding areas. This "spot annealing" enables a degree of precision unachievable with previous technologies.



Figure 4 compares sheet resistance and junction depth for alternative thermal processing methods - conventional RTP, laser spike annealing (LSA), laser and flash annealing. The graph is a compilation of various data points available in the public domain, including the ITRS, as well as Ultratech's own internal data.



Laser annealing (LTP) goes beyond LSA by melting and then recrystallising the damaged material. Longer term, this technique could lead to even better sheet resistances. However, at the current stage of development there are a number of issues with integrating this technique into current semiconductor production processes. Therefore, Ultratech is promoting LSA as a "plug compatible" direct replacement for RTP. To use LSA, a fab would merely have to make the usual adjustments needed to bring a new tool into a process. According to Ultratech's director for laser product technology, Lucia Feng, LSA would give definite advantages in 65nm processes, while a transition to the more advanced LTP laser anneal technology may be needed for 45nm or perhaps lower roadmap nodes.



LSA shows advantage even compared with the modified "flash anneal" RTP process where an extra bank of heating lamps is brought on for a very short time to provide a heating spike.



Figure 5 illustrates how a proprietary Ultratech technology minimises temperature variations across the wafer. This is measured by the amount of reflected light on a 12x15mm test die containing various circuit component types. Reflection is an indication of unabsorbed light - heating depends upon absorption. For these measurements, an 80µm-diameter laser spot (corresponding to the thermal averaging distance) was scanned across the die while monitoring the reflected power. In a traditional laser-annealing approach, numerous peaks and valleys are seen, representing large temperature variations. Ultratech's hardware optimisation method shows a much quieter topography.



The traditional map shows variations of the order of 20%. With the hardware optimisation, reflectance variation is reduced to less than 2%, enabling a minimal amount of temperature variation on the wafer. The Ultratech technique uses both the wavelength of the excimer laser light and other proprietary techniques to achieve this result. With the halogen lamps used in RTP, a broadband of wavelengths is produced, which are absorbed differently by the thin film structures that result from memory or logic processing.



The long march



Early experiments in laser annealing in the mid-1980s used yttrium aluminium garnet (YAG) lasers. One of the biggest roadblocks was to create a uniform laser beam in a consistent and fast manner. Uniform illumination was of the order of millimetres rather than the centimetres required. Pulse-to-pulse repeatability was at a poor 10% level. Later work with excimer lasers improved pulse-to-pulse uniformity to 5%, still not adequate for commercial use.



While straight productivity measures suggest that LSA has 50-75% the throughput of RTP, Ultratech's model suggests comparable cost of ownerships when factors such as consumables are included. For example, halogen lamps need frequent replacement while excimer lasers have a ten year lifespan. Further, Ultratech is naturally working to improve productivity to tip the balance in LSA's favour.



Ultratech has worked in close co-operation with several of the industry's leading chipmakers in both the USA and Japan to validate the technology. Ultratech reports that LTP technology has demonstrated the ability to activate extremely shallow junctions and contacts in just nanoseconds, thereby achieving the desired performance characteristics while using zero thermal budget.



In terms of transistor performance, 5-10% improvements in on/off currents and switching speeds are suggested by preliminary data. Test results demonstrate abrupt profile junctions with high surface concentrations down to the 20nm node. Tests also indicate that the process results are relatively insensitive to device and wafer pattern densities. Ultratech has already been awarded more than 20 patents with 40 more pending on the technology.



Somit Talwar, Ultratech vice-president for laser technology, reports: "Since the use of lasers for the annealing process had long been attempted, but without sufficient success, a new approach was needed. We believe that we have amassed the world's foremost team of experts in the emerging laser processing arena and paired them with Ultratech's projection lithography experts to tackle this challenge."



Ultratech came to the conclusion that it had resolved all of the known technical issues in 2002. Two alpha R&D tools were shipped and a booking has been made on a pre-production tool. The first production tools are due to ship at the end of this year. Volume production shipments are expected to start in 2004.



AngelTech Live III: Join us on 12 April 2021!

AngelTech Live III will be broadcast on 12 April 2021, 10am BST, rebroadcast on 14 April (10am CTT) and 16 April (10am PST) and will feature online versions of the market-leading physical events: CS International and PIC International PLUS a brand new Silicon Semiconductor International Track!

Thanks to the great diversity of the semiconductor industry, we are always chasing new markets and developing a range of exciting technologies.

2021 is no different. Over the last few months interest in deep-UV LEDs has rocketed, due to its capability to disinfect and sanitise areas and combat Covid-19. We shall consider a roadmap for this device, along with technologies for boosting its output.

We shall also look at microLEDs, a display with many wonderful attributes, identifying processes for handling the mass transfer of tiny emitters that hold the key to commercialisation of this technology.

We shall also discuss electrification of transportation, underpinned by wide bandgap power electronics and supported by blue lasers that are ideal for processing copper.

Additional areas we will cover include the development of GaN ICs, to improve the reach of power electronics; the great strides that have been made with gallium oxide; and a look at new materials, such as cubic GaN and AlScN.

Having attracted 1500 delegates over the last 2 online summits, the 3rd event promises to be even bigger and better – with 3 interactive sessions over 1 day and will once again prove to be a key event across the semiconductor and photonic integrated circuits calendar.

So make sure you sign up today and discover the latest cutting edge developments across the compound semiconductor and integrated photonics value chain.

REGISTER FOR FREE

VIEW SESSIONS
ASML Reports €14.0 Billion Net Sales
Tower Semiconductor Announced Program Creating An Integrated-Laser-on-Silicon Photonics Foundry Process
Obducat Receives Order For Fully Automated Resist Processing Tool From A Customer In Asia
Siemens And ASE Enable Next-generation High Density Advanced Package Designs
Belgian Initiative For AI Lung Scan Analysis In Fight Against COVID-19 Goes European
GOODFELLOW Confirms Membership In The BSI UK Graphene Group
Imec Demonstrates 20nm Pitch Line/Space Resist Imaging With High-NA EUV Interference Lithography
U.S. Department Of Defense Partners With GLOBALFOUNDRIES To Manufacture Secure Chips At Fab 8
ITRI And DuPont Inaugurate Semiconductor Materials Lab
EV Group Establishes State-of-the-art Customer Training Facility
Can New Advances In CMOS Replace SCMOS Sensors In Biomedical Applications?
AP&S Expands Management At Beginning Of 2021
New Plant To Manufacture Graphene Electronics
Onto Innovation Announces New Inspection Platform
Cadence Announces $5M Endowment To Advance Research
South Korean Point Engineering Chooses ClassOne’s Solstice S8 For Advanced Semiconductor Plating
SUSS MicroTec Opens New Production Facility In Taiwan
K-Space Offers A New Accessory For Their In Situ Metrology Tools
Tescan And 3D-Micromac Collaborate To Increase The Efficiency Of Failure Analysis Workflows
Panasonic Microelectronics Web Seminar
DISCO's Completion Of New Building At Nagano Works Chino Plant
TEL Introduces Episode UL As The Next Generation Etch Platform
Changes In The Management Board Of 3D-Micromac AG
Will Future Soldiers Be Made Of Semiconductor?

Info
×
Search the news archive

To close this popup you can press escape or click the close icon.
Logo
×
Logo
×
Register - Step 1

You may choose to subscribe to the Silicon Semiconductor Magazine, the Silicon Semiconductor Newsletter, or both. You may also request additional information if required, before submitting your application.


Please subscribe me to:

 

You chose the industry type of "Other"

Please enter the industry that you work in:
Please enter the industry that you work in:
 
X
Info
X
Info
{taasPodcastNotification} Array
Live Event