+44 (0)24 7671 8970
More publications     •     Advertise with us     •     Contact us
*/
News Article

EUV joint venture

News
SEMATECH and AZ Electronic Materials to partner on EUV

SEMATECH and AZ Electronic Materials have announced that AZ Electronic Materials has joined SEMATECH's Resist Materials and Development Centre (RMDC) at the College of Nanoscale Science and Engineering (CNSE) of the University at Albany.

AZ Electronic Materials will collaborate with SEMATECH engineers on crucial resist issues in extreme ultraviolet (EUV) lithography.  Specific areas include reduction or elimination of line edge roughness (LER) in images below 22 nm; ultimate resolution of new resists; and testing imaging materials for EUV sensitivity.

"Our partnership with AZ Electronic Materials will help strengthen the RMDC's ability to address critical resist issues in advanced imaging," said John Warlaumont, vice president of Advanced Technologies at SEMATECH.  "This new collaboration illustrates the effectiveness of SEMATECH's efforts to include a broader range of industry participants in the search for new solutions to our common technology challenges."

"Joining the RMDC provides AZ the opportunity to apply our leading-edge underlayer and top-rinse technologies to the industry efforts to improve EUV performance," said Geoff Wild, AZ's CEO.  "We expect the use of specialty materials 'above and below' the EUV resists will aid in solving some of the current resist processing shortcomings, and we now can test these types of materials at the CNSE."

"The addition of AZ Electronic Materials will further enhance the SEMATECH-CNSE partnership and serve to accelerate the leading-edge research in EUVL technology at CNSE's Albany NanoTech Complex," said Richard Brilla, CNSE vice president for strategy, alliances and consortia. "This collaboration takes advantage of the world-class capabilities at the UAlbany NanoCollege to enable advanced technology solutions that are critical to industry."

At the RMDC, leading resist and materials suppliers participate in focused, cooperative R&D with SEMATECH member companies. Together, the RMDC provides the hardware and research expertise required by materials suppliers and member companies to develop EUV resist processes that meet the stringent resolution, linewidth roughness, and sensitivity specifications needed for EUV insertion at member companies.

×
Search the news archive

To close this popup you can press escape or click the close icon.
Logo
×
Logo
×
Register - Step 1

You may choose to subscribe to the Silicon Semiconductor Magazine, the Silicon Semiconductor Newsletter, or both. You may also request additional information if required, before submitting your application.


Please subscribe me to:

 

You chose the industry type of "Other"

Please enter the industry that you work in:
Please enter the industry that you work in: