+44 (0)24 7671 8970
More publications     •     Advertise with us     •     Contact us
*/
News Article

FUJIFILM Electronic Materials joins SEMATECH’s Resist Materials and Development Center

News
FUJIFILM will collaborate with SEMATECH engineers on critical resist issues in extreme ultraviolet (EUV) lithography
SEMATECH, a global consortium of chipmakers, has announced that FUJIFILM Electronic Materials has joined SEMATECH’s Resist Materials and Development Center (RMDC) at the College of Nanoscale Science and Engineering (CNSE) of the University at Albany. 

As a resist member of SEMATECH’s lithography program, FUJIFILM will collaborate with SEMATECH engineers on critical resist issues in extreme ultraviolet (EUV) lithography. Specific areas include reduction or elimination of line edge roughness (LER) in images below 22nm; ultimate resolution of new resists; and testing imaging materials for EUV sensitivity. 

“Our partnership with SEMATECH provides FUJIFILM the opportunity for a new level of collaboration to further support the semiconductor manufacturing and electronic materials markets,” said Takahiro Goto, General Manager of Electronic Materials Research Laboratories, FUJIFILM Corporation. “FUJIFILM is committed to ensure that they have the enabling materials and processes they need to foster greater innovation.” 

“We’re looking forward to working with FUJIFILM in our mutual effort to develop leading-edge resists and materials, and accelerate process availability for EUV pilot line manufacturing,” said John Warlaumont, vice president of Advanced Technologies, SEMATECH. “This partnership will help strengthen the RMDC’s ability to address critical resist issues in advanced materials and accelerate the search for new solutions to our common technology challenges.” 

“The leading-edge research and development at the UAlbany NanoCollege that is critical for the commercialization of EUVL technologies will be enhanced by the addition of FUJIFILM,” said Richard Brilla, CNSE vice president for strategy, alliances and consortia. “This further builds on the world-class capabilities enabled by the SEMATECH-CNSE partnership to support the advanced technology needs of our global corporate partners and the industry.” 

The partnership will be based on SEMATECH’s extensive network of hardware and research expertise, semiconductor experience, and highly respected market leadership and on FUJIFILM’s proven, industry-leading semiconductor materials and processes. SEMATECH’s RMDC will provide access to two micro-exposure tools (METs) as well as several metrology tools located at the University at Albany’s College of Nanoscale Science and Engineering and the University of California, Berkeley. 

At the RMDC, leading resist and materials suppliers participate in focused, cooperative R&D with SEMATECH member companies. Together, the RMDC provides the hardware and research expertise required by materials suppliers and member companies to develop EUV resist processes that meet the stringent resolution, linewidth roughness, and sensitivity specifications needed for EUV insertion at member companies.
×
Search the news archive

To close this popup you can press escape or click the close icon.
Logo
×
Logo
×
Register - Step 1

You may choose to subscribe to the Silicon Semiconductor Magazine, the Silicon Semiconductor Newsletter, or both. You may also request additional information if required, before submitting your application.


Please subscribe me to:

 

You chose the industry type of "Other"

Please enter the industry that you work in:
Please enter the industry that you work in: