+44 (0)24 7671 8970
More publications     •     Advertise with us     •     Contact us
*/
News Article

Gigaphoton raises EUV light bar

News
Company Demonstrates Highest Conversion Efficiency 5.2% In Creating EUV Energy

Gigaphoton., a lithography light source manufacturer, announced its latest EUV Conversion Efficiency (CE) results. These data show a maximum of 5.2% CE, with an average of 4.7%, while producing extreme ultraviolet (EUV) energy from tin (Sn) plasma. The industry target is 5.0% CE required for the first generation, production EUV scanner. Gigaphoton has set a new industry CE record (Note 1) for a second time with its proprietary pre-pulse laser technique, reaching a major milestone to building a light source of higher power and lower running cost for high volume manufacturing.

The demonstrated values were achieved with Gigaphoton's proprietary design, in which the LPP light source releases optimum EUV energy from the plasma by first irradiating a droplet of Sn with a short-wavelength, solid state laser as a pre-pulse, then irradiating the enlarged droplet with the main-pulse CO2 laser. This maximum CE of 5.2% was achieved with 150 mJ CO2 laser energy. It would be equivalent to 175 W EUV output at 100 kHz CO2 laser frequency.

Gigaphoton has been working on the development of laser-produced plasma (LPP) light sources for EUV lithography with unique technologies in pursuit of higher output and better CoO since 2002. It has proposed a number of unique solutions, including on-demand supply of Sn target droplets of less than 20 µm diameter, an optimum combination of the short-wavelength solid state laser pre-pulse combined withand the main CO2 laser pulse, as well as debris mitigation and removal using magnetic fields to protect the collector mirror. This achievement of production-level CE is a significant step toward initial shipment of a mass production model.

"We have succeeded in demonstrating the highest CE in the industry with our proprietary technology. This confirms our R&D path to manufacture a mass-production LPP source that ensures stable operation at higher output and lower running cost. I believe this will further increase momentum for device manufacturers to introduce EUV lithography tools as the next-generation lithography technology. To respond to the request for shipment of the EUV source, we at Gigaphoton have focused on R&D to prepare for our entry into the EUV business." said Hitoshi Tomaru, president of Gigaphoton.


×
Search the news archive

To close this popup you can press escape or click the close icon.
Logo
×
Logo
×
Register - Step 1

You may choose to subscribe to the Silicon Semiconductor Magazine, the Silicon Semiconductor Newsletter, or both. You may also request additional information if required, before submitting your application.


Please subscribe me to:

 

You chose the industry type of "Other"

Please enter the industry that you work in:
Please enter the industry that you work in: