+44 (0)24 7671 8970
More publications     •     Advertise with us     •     Contact us
*/
News Article

SEMATECH Slashes Defects In EUV Masks

News

SEMATECH researchers have reached a significant milestone in reducing tool-generated defects from multi-layer deposition of mask blanks used for EUVL.

This brings extreme ultraviolet lithography (EUVL) technology a step closer to high-volume manufacturing.

EUVL is rather different from the deep ultraviolet lithography used today. All matter absorbs EUV radiation and so EUV lithography needs to take place in a vacuum. All the optical elements, including the photomask, must make use of defect-free multilayers which act to reflect light by means of interlayer interference. Any one of these mirrors will absorb around 30 percent of the incident light and this limitation can be avoided in maskless interference lithography systems. However, these tools have been currently restricted to producing only periodic patterns.

Until now, pre-production EUVL systems have comprised at least two condenser multilayer mirrors, six projection multilayer mirrors, and a multilayer object (mask). Since the optics already absorb 96 percent of the available EUV light, the ideal EUV source will need to be sufficiently bright.

EUV source development has focused on plasmas generated by laser or discharge pulses. Researchers have found that the mirror responsible for collecting the light is directly exposed to the plasma and is vulnerable to damage from the high-energy ions and other debris. This damage, associated with the high-energy process of generating EUV radiation, has precluded the successful implementation of practical EUV light sources for lithography.

The wafer throughput of an EUVL exposure tool is a critical metric for manufacturing capacity. Since EUV requires a high vacuum environment, the throughput is limited (aside from the source power) by the transfer of wafers in and out of the reactor, to a few wafers per hour.

Another aspect of pre-production EUVL tools is the off-axis illumination (at an angle of 6 degrees) on a multilayer mask. This results in an asymmetry in the diffraction pattern which causes shadowing effects and degrades the pattern fidelity.

EUVL's shorter wavelength also increases flare, which degrades image quality.

Heating is higher per EUV photon compared to a DUV photon, due to higher absorption in resist What's more, EUV lithography results in more heating due to the vacuum environment, in contrast to the water cooling environment of immersion lithography.

Since EUV is highly absorbed by all materials, even EUV optical components within lithography tools are susceptible to damage. Such damage is a new concern specific to EUV lithography, as conventional optical lithography systems use mainly transmissive components and electron beam lithography systems do not put any component in the way of electrons, although these electrons end up depositing energy into the substrate.

And the presence of defects is even more of a hindrance in advancing the technology to mass production.

In addressing these issues, SEMATECH has made a two-year concerted effort to improve deposition tool hardware, process parameters and substrate cleaning techniques. The firm's technologists deposited EUV multilayers with only eight defects per mask at 50 nm sensitivity (SiO2 equivalent), which includes 6 substrate defects, one handling defect and one defect from the multi-layer deposition process. This result was achieved on a 40 bi-layer film stack with a ruthenium cap and measured over the mask blank quality area of 132 x 132 mm2.

What's more, SEMATECH has developed novel cleaning processes which have improved substrate cleaning yield on quality substrates, resulting in an integrated process capable of manufacturing EUV mask blanks with less than 20 total defects at 45nm sensitivity. The achievements in mask defect reduction and increase in yield for high quality blanks are attributed to a significant improvement in substrate cleaning, handling, and deposition.

Defects are generally formed by decoration of substrate defects by the multilayer deposition process and, to a lesser extent, by the deposition process itself. They have prevented the quality of mask blanks from keeping pace with roadmap requirements for the production of pilot line and high-volume manufacturing EUV reticles.

Reducing the defects in the EUV mask blank multilayer deposition system is one of the most critical technology gaps the industry needs to address to enable cost effective insertion of this technology at the 22nm half-pitch. For successful introduction, integrated EUV blanks must meet a defectivity level of less than 0.003 defects/cm2 at 25nm sensitivity.

"SEMATECH continues to produce results that the industry needs to show that EUVL is manufacturable," said Stefan Wurm, SEMATECH's Lithography director, pictured above. "SEMATECH's Mask Blank Defect Reduction program continues to demonstrate practical results for mask blank defect reduction, more efficient deposition and cleaning processes, effective reticle handling, and other areas that can support high-volume EUVL manufacturing at the 22nm half-pitch node."

"EUVL requires a low defect density reflective mask blank, which is considered to be one of the top two critical technology gaps for commercialisation of the technology," added Frank Goodwin, manager of SEMATECH's Mask Blank Defect Reduction program. "The goal of our work is to enable model-based prediction and data driven analysis of defect performance for targeted process improvement and component learning to feed into the new deposition tool design."

Substrate and mask blank defect levels have steadily improved across the industry, but more slowly than expected. To accelerate progress, SEMATECH's Mask Blank Defect Reduction program has developed world-class knowledge on the composition of very small defects, through sophisticated defect analysis capabilities and processes that include the use of analytical tools such as the Titan TEM and an Auger tool for mask surface analysis.

SEMATECH's Mask Blank Defect Reduction program is supported by the Mask Blank Development Center, located at the College of Nanoscale Science and Engineering (CNSE), State University of New York, Albany, to develop defect-free EUV blanks.

×
Search the news archive

To close this popup you can press escape or click the close icon.
Logo
×
Logo
×
Register - Step 1

You may choose to subscribe to the Silicon Semiconductor Magazine, the Silicon Semiconductor Newsletter, or both. You may also request additional information if required, before submitting your application.


Please subscribe me to:

 

You chose the industry type of "Other"

Please enter the industry that you work in:
Please enter the industry that you work in: