+44 (0)24 7671 8970
More publications     •     Advertise with us     •     Contact us
*/
News Article

ISMT immersion workshop looks forward to manufacturing

ASML, Canon, and Nikon are all designing and planning to ship commercial immersion lithography tools between late 2004 and 2006, according to presentations made at the latest International Sematech (ISMT) workshop on the technology. ASML and Nikon both say they will develop 193nm immersion systems at 0.85 numerical aperture (NA) by Q3 2004. Canon says it plans to build a 193nm tool with an NA greater than 1.0 by early 2006.
ASML, Canon, and Nikon are all designing and planning to ship commercial immersion lithography tools between late 2004 and 2006, according to presentations made at the latest International Sematech (ISMT) workshop on the technology. ASML and Nikon both say they will develop 193nm immersion systems at 0.85 numerical aperture (NA) by Q3 2004. Canon says it plans to build a 193nm tool with an NA greater than 1.0 by early 2006.

High NA enables better resolution. Non-immersion systems are limited by a maximum possible NA of 1 in free space - air is close to being a vacuum for these purposes.

Will Conley of ISMT says his group had come from a point where "we didn't know anything" to being able to rule out the effects of water on resist as a serious barrier to immersion.

Test resists supplied by Japanese manufacturer TOK were almost unaffected by exposure to water for short times. For example, University of Texas researcher Robert J LeSuer reports that TOK resists show "very small amounts of leaching" in 30 seconds or less, and almost no leaching at all after a half-minute. Clariant and Shipley resists have also shown good performance in the immersion context.

Alex Raub of the University of New Mexico found that immersing resists for as long as 10 minutes did not degrade their ability to produce sharp, production-worthy images. "Even after soaking a wafer for 10 minutes, I can still measure images at 65nm half-pitch lines," he says. Raub worked with high-performance liquid chromatography (HPLC) and showed "no problem imaging into resist on immersion".

Researchers at the Rochester Institute of Technology (RIT) have developed a 193nm immersion microstepper. The system is able to etch 100nm linewidths and the team is confident of reaching even smaller dimensions - especially if the liquid can be successfully doped to increase its refractive index from water’s 1.45. Caesium sulphate has been found to mix readily with water to produce a liquid with a refraction index of 1.6. Combined with a high NA of 1.05, such a property could allow an immersion-based linewidth as small as 30nm, according to Bruce Smith of RIT.

On the negative side, it has been found that ultrapure water (UPW) for immersion cannot be stored for long periods without leaching chemicals from its container and thereby becoming unusable. The former negative issue of nanobubbles appears to be solved by degassing.

Some at the meeting looked to the next possible optical wavelength of 157nm. Immersion in this case could extend to 32nm linewidths or even lower with the development of a new immersion liquid with a refractive index greater than water. ISMT is planning a 157nm and Immersion Symposium in August 2004.

Walt Trybula, ISMT Senior Fellow and workshop organiser wrapped up the meeting with the comment: "We have gone from the science phase to the engineering and testing phase. And although we now have a lot of knowns, we still have to migrate them into manufacturing."

×
Search the news archive

To close this popup you can press escape or click the close icon.
Logo
×
Logo
×
Register - Step 1

You may choose to subscribe to the Silicon Semiconductor Magazine, the Silicon Semiconductor Newsletter, or both. You may also request additional information if required, before submitting your application.


Please subscribe me to:

 

You chose the industry type of "Other"

Please enter the industry that you work in:
Please enter the industry that you work in: