+44 (0)24 7671 8970
More publications     •     Advertise with us     •     Contact us
*/
News Article

Litho forum pushes immersion

Lithography industry representatives selected 193nm immersion as the most likely candidate to meet the needs of leading-edge semiconductor manufacturing in the period 2007-2009 at a two-day Lithography Forum sponsored by International SEMATECH (ISMT).
Lithography industry representatives selected 193nm immersion as the most likely candidate to meet the needs of leading-edge semiconductor manufacturing in the period 2007-2009 at a two-day Lithography Forum sponsored by International SEMATECH (ISMT).

However, participants acknowledged that critical issues remain for this leading technology, particularly as this technology is extended to the 45nm node in 2009. These issues included mask availability, mask defect control, cost of ownership, and extensibility.

A separate survey at the meeting indicated that 157nm would be capable of being placed into manufacturing in 2008-2009 and that extreme ultraviolet EUV could be ready for manufacturing as early as 2009.

Advocates for several leading litho technologies presented results. The technologies included 193nm immersion, 157nm lithography and EUV. Also reviewed during the meeting were electron projection lithography (EPL), charged particle maskless (CP-ML2), optical maskless and nano-imprint, with additional, emerging technologies discussed at poster sessions.

Burn Lin from TSMC and technology co-ordinator at the meeting for 193nm immersion reported that from his company's perspective this is the technology of choice for several generations.

Canon, ASML and Nikon representatives added their support to the case for the viability and extensibility of 193nm immersion. All these exposure toolmakers are currently working on early tools, with the promise of hyper-NA tools (NA greater than 1.0) available by 2006.

However, costs are not firmly determined and several technical issues, including resist effectiveness, need to be resolved, according to the studies already carried out (see Bulletin 515, January 30, 2004).

Harry Levinson from AMD co-ordinated presentations from Schott Lithotec, ASML, Nikon, Canon, IMEC, and ISMT on the progress in 157nm lithography. Infrastructure development in terms of tools, lens materials, hard pellicles, resist, metrology and overall timing needs more, breakthrough work on soft pellicles.

Ultimate implementation of 157nm depends on the degree to which the industry continues to support its development in the face of 193nm immersion. Several companies indicated that if 157nm does not emerge as a primary technology, it nonetheless will play a role as a back-up for either 193nm immersion or EUV.

"This technology is currently on the back burner, but it is certainly not dead," said John Wiesner of Nikon. Canon's Kazuhiro Takahashi said that his company will continue developing 157nm, working to improve the CaF2 lens material, supporting resist development and starting a baseline study for 157nm immersion.

Peter Silverman of Intel co-ordinated presentations from Exitech, Cymer, Xtreme, ISMT, Intel, ASML and Nikon on EUV. Silverman outlined an aggressive schedule for EUV to be ready for manufacturing in 2009, at the 32nm node and beyond. Significant investment is planned in pre-development work through the EUV-LLC consortium. Progress has been made in areas such as tool development, source, optics, blanks and mask handling. Source power remains a significant challenge.

"EUV has moved out of the development phase into an active commercialisation phase," said Silverman. Jos Benschop of ASML reported his company’s plans for a process evaluation tool in 2005, an early production tool in 2007 and a volume production system in 2009. Kazuo Ushida said Nikon plans an experimental high-NA tool by Q3 2004, followed by an EUV beta system in 2006 and high-volume manufacturing tools by 2008 or sooner. "We see no major hurdles that block the development of EUVL systems," said Ushida.

For EPL, Nikon plans production tools for 2006. These will have to address issues such as throughput and mask writing. "EPL is a complementary technology [to other lithographies], especially for contact holes and via layers," said Nikon's Kazuaki Suzuki. "Exposure and overlay with the required accuracy are almost realised."

Charged particle maskless, optical maskless (OML) and nano-imprint were discussed under the heading "emerging technologies". CP-ML2 has low-complexity, medium-complexity and high-complexity variations. OML could take advantage of existing optical techniques as well as immersion. Advocates of nano-imprint believe it could achieve sub-5nm features at 14nm half-pitch.

The forum had 350 participants representing some 120 companies.

×
Search the news archive

To close this popup you can press escape or click the close icon.
Logo
×
Logo
×
Register - Step 1

You may choose to subscribe to the Silicon Semiconductor Magazine, the Silicon Semiconductor Newsletter, or both. You may also request additional information if required, before submitting your application.


Please subscribe me to:

 

You chose the industry type of "Other"

Please enter the industry that you work in:
Please enter the industry that you work in: