+44 (0)24 7671 8970
More publications     •     Advertise with us     •     Contact us
*/
News Article

193nm material extension

Microelectronics manufacturing has always relied on the lithographic process to ensure Gordon Moore's observation remains accurate. Historically the transition stages for advanced lithography have been a carefully laid out procedure. Economic realities have disturbed this natural conservative order and the industry now looks to a re-imagining of traditional processes to move to the next technology node. Alan Stein of Rohm and Haas Electronic Materials, looks at new materials that will help extend 193nm lithography, maybe to the 45nm node...
Optical lithography is the standard imaging technology used in semiconductor manufacturing and it appears it will remain in the mainstream for at least the next two technology nodes. For leading edge processes the 193nm exposure wavelength is used for critical layer lithography, having replaced 248nm beginning at the 90nm node. Further improvements in photoresist materials, higher NA lens designs and the use of phase shift masks and off-axis illumination will extend the 193nm wavelength to 65nm node processes. Recent research results in 193nm immersion lithography suggest that 193nm may extend to the 45nm node, possibly delaying or eliminating the need for 157nm lithography (1).

To extend optical lithography to the 65nm node and beyond, there are significant challenges yet to be overcome in each of the major components of the photolithography infrastructure: exposure equipment, masks, metrology and materials (2). For the photoresist suppliers these challenges include improving process windows and critical dimension (CD) control, etch resistance, Post Exposure Bake (PEB) sensitivity, and defects. The complexity of sub-wavelength lithography leads to resists and resist processes tailored to specific applications. While positive, single layer photoresists are the most commonly used today in high volume manufacturing, the challenges of 65nm node imaging and process integration require investigating new approaches.

As CDs shrink in accordance with the semiconductor industry roadmap (2) the semiconductor engineer must strike a balance between two issues, pattern collapse and etch resistance. Pattern collapse results when capillary forces that impinge on the photoresist pattern during the develop and rinse steps overcome the mechanical and adhesive strength, toppling the lines. Pattern collapse is dependent on the height of the lines. Overall lithographic process windows are also generally improved as the photoresist is coated thinner. Typical aspect ratios (the ratio of the resist thickness to the feature size) are 2.5 to 3. Therefore, photoresist with film thickness on the order of 200nm are used for robust lithographic processes at the 65nm node. However, as CDs shrink less resist film thickness remains to act as the mask during the subsequent substrate etch processes. This issue can be dealt with in one or a combination of ways: by increasing the etch resistance of the photoresist, by increasing the aspect ratio, by introducing a hard mask between the photoresist and the substrate, by employing a multi-layer resist scheme, or by using a faster etching anti-reflectant coating.

In this paper the author reviews some recent developments in lithographic materials that offer potential solutions for processes at the 65nm node and below.

65nm node Gate Resist

According to the ITRS the gate length in the photoresist for 65nm node logic processes is between ~50 and 80nm. Photoresists based on VEMA (vinyl ether maleic anhydride) polymers (3) offer a good balance of properties for imaging the gate level: good pattern collapse margin, good overexposure performance, good process latitudes, low Mask Error Factor (MEF), and etch trim.

Process margins for gate features can be improved by over-exposing semi-dense and isolated line features, such that the feature printed on the wafer is smaller than the feature on the mask. Figure 1 shows semi-dense line patterns for Epic 2220 VEMA photoresist through exposure dose. The film thickness is 190nm. Sub-50nm lines can be printed without collapse, in this case from a 90nm CD on the reticle. Thus, the aspect ratio of VEMA resists can be between 3 and 4 in semi-dense line applications.

Figure 1. Semi-dense line pattern, overexposed by 20nm, for Epic 2220 193nm gate photoresist. No pattern collapse is observed at 50nm CD

Epic 2220 photoresist was tuned for optimal focus latitude at 70nm CD and 200nm pitch, using 20nm mask bias. The common process window of >0.5 depth of focus at 6% exposure latitude, through pitch, met the customer's requirement for a robust logic gate process.

Another important feature of a photoresist used with over-exposure bias is film thickness retention. In figure 2 the VEMA photoresist was patterned using 35nm mask bias. Less than 10% of the film thickness is lost in the exposed region (the lines) when compared to an unpatterned region. This attribute is particularly important in dual masking schemes, such as alternating Phase Shift Mask (PSM), which may be employed for 65nm node gate lithography (4). In an alternating PSM process the exposed resist is subjected to a 2nd exposure with a trim mask.

Figure 2. Film thickness retention of lines after 35nm over-exposure.

Fast etch anti-reflective coatings

Bottom Anti-Reflective Coatings (BARCs) are used with 193nm photoresists to control the incident 193nm light reflecting off the photoresist and substrate interfaces. BARCs contribute to controlling the resist profile, line edge roughness, process windows and CD variation. There are two classes of BARCs - BARCs deposited by spin coating, and inorganic BARCs typically applied by chemical vapor deposition (CVD) processes. Recent advances in organic spin-on BARCs increase the etch rate of the BARC and improve adhesion between the BARC and photoresist. These advanced organic BARCs are being implemented in 65nm node processes at a number of semiconductor manufacturers.

Organic BARCs form a uniform, defect-free film; are subsequently cross-linked; can be removed using the same edge bead removal solvents as the photoresist; and have optical parameters (real and imaginary refractive indices) matched to the exposure wavelength, substrate and BARC film thickness. The BARC should also have a fast etch rate to minimize the etching done to the photoresist while the BARC is removed from the areas in which the substrate will be etched. Finally the BARC should promote good adhesion to the photoresist to minimize effects such as pattern collapse, which can limit process windows and resolution for 193nm photoresists.

A new class of organic BARCs were developed using polyester resins which offer high optical density, fast etch rates and improved adhesion to 193nm photoresists (5). Figure 3 shows a comparison of etch rates between EpicTM V41 193nm photoresist, ARTM19 anti-reflectant developed for 130nm node and 90nm node processes, and AR40 and AR50 fast etch BARCs developed for 65nm node processes using the polyester technology. The etch rate was increased by ~50% over the previous generation material. The increased etch rate allows the use of thinner resist films (smaller aspect ratios) which results in larger lithographic process windows. The adhesion at the BARC - resist interface also improves with these new products. It has been demonstrated that reduced pattern collapse and larger process latitudes are obtained patterning 193nm photoresists over polyester BARCs.

193nm Bilayer Photoresist

One approach to overcoming the dilemma of inadequate etch resistance of thin, single layer resists - be they for 248nm or 193nm exposure - is to use a bilayer or trilayer scheme. In a bilayer scheme a silicon rich, thin imaging layer (typically 100 to 200nm thick) is coated over a thicker, non-silicon containing underlayer (typically 300nm to 500nm thick). The pattern from the reticle is printed into the imaging layer using conventional lithographic processing. The desired pattern is then transferred from the imaging layer into the underlayer by an etching step, in which the imaging layer is oxidized and the resulting refractory oxide masks the underlayer for dry development. Once the pattern is transferred into the underlayer the substrate is then etched, with the underlayer acting as the etch mask (6).

While the bilayer approach has been studied for many years, recent advances in polysilsesquioxanes yield imaging layers that can be employed in commercial processes at the 65nm node and beyond. In polysilsesquioxanes the silicon species is incorporated into the polymer backbone. This results in no detectable outgassing of Si components during exposure and also contributes an overall higher Si content, 10-20% by weight, for better etch resistance (7). Pendant organic groups that are transparent at 193nm impart the lithographic functionality, such as dissolution control and contrast.

Besides functioning as the etch mask, the underlayer also acts as the anti-reflectant coating and can be utilized as a planarizing agent. Materials such as novolaks can be employed for low etch rates, and the chemistry of the underlayer can be tailored for the desired etch rate or optical parameters.

Lam Research Corporation demonstrated a non-SO2 dry develop process and subsequent transfer of a 100nm 1:1 trench image into 600nm of oxide substrate, Figure 4 (6). In this example the imaging layer, a Rohm and Haas experimental bilayer sample (XP-3279) was 150nm thick and the underlayer thickness was 510nm. The dry develop, oxide etch, and strip were run successively in a single Lam Exelan chamber.

Figure 4. 100nm 1:1 trenches with 193nm bilayer photoresist (XP-3279 imaging layer, ARTM2450 underlayer) (a) after litho, (b) after dry develop, and (c) after oxide etch and resist strip. Etch process courtesy of Lam Research Corp

193 nm Negative Photoresist

Although positive tone photoresists are most widely used in semiconductor manufacturing, there are some applications where negative tone resists offer advantages. Those of particular interest for 193nm lithography include improved pattern collapse (8), avoiding design problems of alternating phase shift mask structures (9), and advantageous imaging of trench (space) patterns (10).

Whereas in a positive acting photoresist the photopolymer becomes more soluble after exposure, in a negative acting photoresist the polymer becomes less soluble in the developer after exposure. The change in dissolution rate in a negative photoresist may be accomplished through a cross-linking mechanism. Acid is photochemically generated by the 193nm exposure; the photoacid catalyzes a reaction between alcohol groups on the photoresist polymer and a cross-linking agent. Cross-linking increases the molecular weight of the polymeric units in the film and changes the dissolution behavior, resulting in imaging.

Figure 5 shows images of 100nm 1:1 and isolated trenches produced with a 193nm negative photoresist, NA=0.75 and 6% attenuated phase shift mask. This demonstrates the capability of resolving the critical metal levels of a 65nm node device with negative photoresist. It has been shown by modeling that given similar resist figures of merit, and only a difference in tone, a significantly larger process window overlap is achieved with a negative resist than the positive resist (10).

Figure 5. 100nm trenches, 200nm pitch and isolated, printed with XP-3393
experimental negative 193nm photoresist

Typically small semi-dense and isolated spaces (trenches) are difficult to print with positive tone resists because not enough light reaches the bottom of the feature to fully expose and convert the resist to it's soluble form, while maintaining the desired CD and profile shape. Over-exposing a negative resist is an alternative approach. The exposed areas (e.g. lines) increase in dimension as the exposure energy is increased, thereby narrowing the gap between the exposed features.

Negative tone resists are also needed in some novel masking schemes for printing sub-100nm vias (11). A chromeless phase shifting mask design, known as the via vortex, generates dark spots in the aerial image due to phase singularities. After imaging a negative resist these dark spots become small holes, with the hole size limited by light scattering. 80nm vias were demonstrated using this technique with a 193nm negative photoresist (12).

193 nm Immersion Lithography

193nm immersion lithography is today the leading candidate for critical layer lithography in 45nm node processes, which are expected to begin high volume production in 2007 (1). In immersion lithography (IML) a high purity liquid fills the gap between the final lens element and the photoresist, in place of air or inert gas (13). Several factor make purified water a good choice for the fluid for 193nm IML, it has good optical properties, good transparency and a high refractive index. IML offers two significant advantages over conventional lithography.

(1) Increased Depth of Focus (DOF) margin. When a lens of equivalent NA is constructed using immersion technology, it exhibits significantly higher DOF than its conventional counterpart due to the refractive index difference between the immersion fluid and air. At 193nm, water has an index of approximately 1.44, while the value of air is very close to unity, hence a focus latitude increase in the range of 30-50% is realized, dependant upon the precise mask features. (2) Increased resolution limit. The maximum theoretical NA of an exposure tool is determine by the refractive index of the transmission media between the lens and the photoresist. IML enables the industry to build higher NA lenses which in turn enables a significantly higher resolution limit. With this improved resolution, the 193nm wavelength can be extended further than originally expected, perhaps delaying or eliminating the need for the 157nm exposure wavelength.

Significant progress was made in 2003 in demonstrating the feasibility of IML and in building the infrastructure for volume production (14). All 3 major exposure tool manufacturers are planning to release production 193nm IML tools by 2006. Some groups are studying the properties and purity of immersion fluids. Photoresist suppliers are studying the interactions between the immersion fluid and the photoresist.

Figure 6a shows 60nm lines and spaces generated using an interferometric immersion exposure tool at Rochester Institute of Technology. In this apparatus an ArF excimer laser is used to generate two coherent, polarized beams. These beams are propagated through water to the photoresist (XP-1020) where they overlap, the resulting interference produces a dense grating structure. The pitch of the grating is controlled by the NA selected, in this case the 120nm pitch shown requires 0.80NA. The resist film thickness was 100nm. In a second experiment with the photoresist coated at 70nm thickness, the NA was increased to 1.05, changing the pitch to 90nm. The 45nm 1:1 lines and spaces shown in Figure 6b demonstrate that current 193nm photoresist technology can be used as prototypes for 45nm node imaging. It should be noted that a conventional projection imaging tool requires a significantly higher NA than an interferometry tool to resolve any given pitch with equivalent image fidelity.

Figure 6. 193nm interference immersion lithography. (a) 60nm L/S pattern, NA=0.80. (b) a 45nm 1:1 L/S pattern, NA=1.05. All images and exposures courtesy of Rochester Institute of Technology using Rohm and Haas Electronic Materials experimental photoresist XP-1020

Conclusions

193nm has become the mainstream optical lithography for critical features in semiconductor manufacturing at the 90nm node. Extending 193nm to the 65nm node and beyond is not without challenges in all parts of the lithography infrastructure, including exposure equipment, masks, metrology and materials. While some of the photoresists and anti-reflective coatings will be natural extensions of the materials already used at 90nm node, in other cases fully new materials and approaches are needed to enable engineers to develop robust manufacturing processes.

Click here for more information

Acknowledgements
The author thanks Robert Kavanagh, Stewart Robertson and George Barclay for their editorial contributions.

References
1. International Sematech Litho Forum, Los Angeles, January 2004.
2. 2003 Edition of the ITRS Roadmap. http://public.itrs.net.
3. Implementation of the ArF resists based on VEMA for sub-100nm device, H. Kim, S. Lee, S. Choi, S-H. Lee, Y. Kang, S. Woo, D. Nam, Y. Chae, J. Kim, J. Moon, R. Kavanagh, G. Barclay, SPIE Proc. 4690, p. 533 (2002).
4. Extending ArF to the 65nm node with Full Phase Lithography, F. Driessen, C. Pierrat, F. Vandenberghe, K. Ronse, P. van Adrichem, H. Liu, Proc. SPIE 5040, p. 1091 (2003).
5. Polyester Based Anti-Reflective Coatings for Decreased Etch Resistance, S. Coley, G. Wayton, A. Kwok, P. Trefonas, C. Truong, S. Wong, E. Pavelchek, SPIE Proc. , ,2003.
6. Bilayer resist method for dual damascene process and advanced devices, P. Cirigliano, R. Sadjadi, A. Athayde, G. Barclay, J. Wandell, F. Fischer, Semiconductor Fabtech, 20-16/1, 2003.
7. ArF and F2 Lithography Using Bilayer Resists, G. Barclay, S. Kanagasabapathy, G. Pohlers, F. Huby, K. Wiley, Solid State Technology, July 2003.
8. Characterization of the Resist Pattern Collapse in a Chemically Amplified Resist, C. Koh, C. Bok and K. Baik, Proceedings of the Olin Interface Conference, p. 295 (1996).
9. Negative-tone Resist for PSM Technology; a Progress Report, E. Richter et. al., SPIE Proc. 3999, p. 91 (2000).
10. Optimum Tone for Various Feature Types: Positive vs. Negative, T. Brunner, C. Fonseca, SPIE Proc. 4345, p. 30 (2001).
11. Vortex Via Process: Analysis and Mask Fabrication for Contact CDs < 80nm, M. Levenson, S. Tan, G. Dai, Y. Morikawa, N. Hayashi, T. Ebihara, SPIE Proc. 5040, p. 344 (2003).
12. Results to be presented at SPIE International Symposium on Microlithography, Santa Clara, CA (2004).
13. Immersion Lithography: Beyond the 65nm Node with Optics, M. Switkes, M. Rothschild, R. Kunz, S-Y. Baek, D. Cole, M. Yeung, Microlithography World, vol.
12, no. 2, p. 4 (2003).
14. Immersion Lithography; Its Potential Performance and Issues, S. Owa and H. Nagasaka, SPIE Proc. 5040, p. 724 (2003).

×
Search the news archive

To close this popup you can press escape or click the close icon.
Logo
×
Logo
×
Register - Step 1

You may choose to subscribe to the Silicon Semiconductor Magazine, the Silicon Semiconductor Newsletter, or both. You may also request additional information if required, before submitting your application.


Please subscribe me to:

 

You chose the industry type of "Other"

Please enter the industry that you work in:
Please enter the industry that you work in: