+44 (0)24 7671 8970
More publications     •     Advertise with us     •     Contact us
*/
News Article

SEMATECH Partners with Rohm and Haas for 2.5 keffective

SEMATECH researchers have identified a dual damascene method for interconnect integration that could achieve an aggressive industry target for ultra lowk dielectric materials used in semiconductor manufacturing.
SEMATECH researchers have identified a dual damascene method for interconnect integration that could achieve an aggressive industry target for ultra lowk dielectric materials used in semiconductor manufacturing.

The two-level metal, dual damascene process uses two Zirkon interlayer dielectric (ILD) films from Rohm and Haas Electronic Materials to demonstrate a copper/ultra low-k (ULK) integration with a k-effective (keff) value of 2.5, the target set out by the International Technology Roadmap for Semiconductors (ITRS) for the 45 nm technology generation. By using ULK dielectric films and newly developed flows, the process achieves a lower k-effective result.

“The dual damascene integration that our team developed offers a potential solution for blocking precursor penetration and minimizing process-induced damage typically observed with ULK dielectrics containing interconnected pores,” said Ward Engbrecht, lead author and a SEMATECH copper low-k integration project engineer.

Ultra low-k films are porous materials that are much less dense than organosilicate glasses, the starting material for advanced interconnect technology dielectrics. ULK is critical to advanced semiconductor manufacturing because it will allow metal lines to be packed closer together on a chip with less capacitance-driven delay, which slows chip performance. However, as previously noted by SEMATECH, the integrated ULK must be evaluated according to keffective, which is the overall k-value of a dielectric material and its associated layers after processing.

Throughout the late 1990s and early 2000s, the semiconductor industry drove toward developing materials with progressively decreasing k-values, but process-induced damage to these materials is becoming increasingly problematic as the industry approaches the 45 nm node, which is slated to enter production in 2010.

“The key to achieving a keff value of 2.5 in this integration approach is the use of ultra low-k materials throughout the integration scheme except for the dielectric barriers and the minimization of process induced damage,” said Klaus Pfeifer, SEMATECHs program manager for copper low-k integration.
×
Search the news archive

To close this popup you can press escape or click the close icon.
Logo
×
Logo
×
Register - Step 1

You may choose to subscribe to the Silicon Semiconductor Magazine, the Silicon Semiconductor Newsletter, or both. You may also request additional information if required, before submitting your application.


Please subscribe me to:

 

You chose the industry type of "Other"

Please enter the industry that you work in:
Please enter the industry that you work in: