+44 (0)24 7671 8970
More publications     •     Advertise with us     •     Contact us
*/
News Article

SEMATECH cleaning milestone for EUV mask blanks

News
SEMATECH North researchers working on extreme ultraviolet lithography (EUVL) have achieved an important breakthrough in the complex process of cleaning mask blanks, the base material for the stencil-like photomasks that are used to describe patterns on semiconductor wafers.

Engineers using a proprietary cleaning regimen on quartz substrates were able to remove all particles as small as 43 nm, a dimension so small that 18 billion such particles could fit on the head of a pin. For EUV masks to be used in microchip production, none can have a substrate defect larger than 40 nm for pilot lines and 25 nm for volume manufacturing.

"This achievement is a critical and necessary step in generating a zero-defect mask blank," said David Krick, program manager for SEMATECH North's Mask Blank Development Centre (MBDC).

"The successful demonstration of SEMATECH's mask blank cleaning process at the College of Nanoscale Science and Engineering's Albany NanoTech complex will pave the way for future development of cutting-edge nanoelectronics technologies," said Robert Brainard, associate professor of nanoscience at CNSE. "At the same time, it shows both the power of CNSE's collaborative model for research partnerships, as embodied by SEMATECH North."

An advanced form of lithography, EUVL uses extremely short wavelength (13.5 nm) light and reflective photomasks to image circuit patterns onto the surface of semiconductor wafers. The microchips that will be produced with EUV technology will contain features 32 nm wide or smaller, and are projected to be as much as 100 times faster, with 1,000 times the memory capacity of today's most powerful computer chips.

The significance of the MBDC's cleaning milestone lies in the intricate and demanding science of producing usable masks for EUV manufacturing, Krick explained. First, the basic mask material, called a substrate, must be made almost perfectly free of nonremovable defects, such as pits or scratches. Second, the mask substrate must be cleansed of removable defects, such as airborne particles, down to 25 nm. This cleaning process paves the way to the final step of depositing a multi-layered reflective coating on the substrate, allowing the resulting photomask to effectively reflect EUV energy.

"Cleaning of defects is a critical and necessary step in generating a zero-defect mask blank, because defects in the substrate become defects in the multilayer, which ruins the mask blank," Krick noted. A related challenge involves working with suppliers to produce mask substrates with no nonremovable defects larger than 25 nm, he added.

Krick said the MBDC's cleaning project was challenged by the limitations of metrology, since even the most powerful commercial mask inspection microscopes cannot reliably detect particles smaller than 50 nm. The SEMATECH team, using an upgraded confocal microscope from Lasertec, compensated with a repetitive cleaning and overlay methodology that effectively "enlarged" the sub-50 nm particles so that they could be detected.
"A great deal of work still remains for getting EUV mask blanks ready for manufacturing, but our cleaning methodology has removed another barrier," Krick said. "We are well positioned for the tasks ahead."

×
Search the news archive

To close this popup you can press escape or click the close icon.
Logo
×
Logo
×
Register - Step 1

You may choose to subscribe to the Silicon Semiconductor Magazine, the Silicon Semiconductor Newsletter, or both. You may also request additional information if required, before submitting your application.


Please subscribe me to:

 

You chose the industry type of "Other"

Please enter the industry that you work in:
Please enter the industry that you work in: