+44 (0)24 7671 8970
More publications     •     Advertise with us     •     Contact us
*/
News Article

Technologists back 193 nm immersion and EUV

News
Semiconductor manufacturers and their suppliers appear poised to aggressively follow the chip industry's roadmap for extending current lithography technologies and introducing new ones, a SEMATECH-sponsored Litho Forum found recently.
Semiconductor manufacturers and their suppliers appear poised to aggressively follow the chip industry's roadmap for extending current lithography technologies and introducing new ones, a SEMATECH-sponsored Litho Forum found recently.

The Forum, a three-day gathering of global lithography experts, provided extensive readiness assessments of candidate lithography technologies for the 32 nm half-pitch and beyond technology generations. A subsequent survey of attendees showed that chip-makers and equipment suppliers foresee the introduction of 45 nm half-pitch manufacturing in 2009, and the beginning of 32 nm half-pitch production in 2012.

"The surveys indicated an aggressive adherence to the half-pitch targets identified by the International Technology Roadmap for Semiconductors (ITRS)," said Michael Lercel, SEMATECH Lithography director and conference chair. "However, cost concerns for new approaches are in the forefront of many people's minds."

For manufacturing at 45 nm in 2009, participants indicated a predominant preference for 193 nm, single-exposure immersion lithography, with alternatives seen as double-exposure 193 nm immersion and conventional ("dry") 193 nm litho. For 32 nm in 2012, the preferred technology was extreme ultraviolet lithography (EUVL), with interest in 193 nm immersion using double exposure. Finally, EUVL was attendees' overwhelming choice for manufacturing at 22 nm in 2015.

"The rise of interest in 193 nm double exposure was notable, but double exposure never became the preferred option at any node in the survey, likely because of cost issues," Lercel said. Bernie Roman, Litho Forum programs chairman, noted: "Survey feedback from lithography end-users also showed concern with extendibility of various 193 nm options beyond 32 nm half-pitch."

Conducted May 22-24, the Forum attracted more than 200 lithographers and executives from North America, Europe and Asia. The current state of more than two dozen technologies and activities supporting six different lithography options—including resists, masks, advanced fluids, lens materials, and overall tool readiness—were presented by representatives from companies world-wide.

Advocates reported on the status of 193 nm lithography, EUVL, and alternative technologies, such as maskless lithography and nano-imprinting. At the conclusion of presentations, attendees were asked to take an anonymous survey of their companies' most likely technology choices for manufacturing in 2009, 2012, and 2015. Detailed results were compiled and shared with participants and SEMATECH member companies.

"Once again, the lithography community was presented with multiple potential solutions for the 32 nm half-pitch generation and beyond" said Giang Dao, Forum keynote speaker and SEMATECH's chief operating officer for advanced technologies. "Given the rising costs and decreasing funding for R&D, the industry needs a critical and objective assessment of each of these options, so that we all can focus on the best solutions for bringing them into manufacturing when needed."

Dao added: "The resulting information and guidance will be invaluable in helping SEMATECH extend current technologies, build infrastructure for emerging ones, and narrow the options for promising alternatives. We believe it will be just as useful for other participants in the industry as they formulate their technology strategies."

SEMATECH organised the first Litho Forum in 2004 to focus industry attention on rapidly proliferating technology choices. Participants in this year's meeting expressed interest in attending a third Litho Forum in 2007 or 2008.



SEMATECH and the University of Queensland in Australia will collaborate in a project that aims to develop new resists for 193 nm immersion lithography, an emerging technology for advanced semiconductor manufacturing.

Technologists from SEMATECH and the university will identify and qualify novel, high-refractive-index polymers for 193 nm photoresists in an effort to extend immersion lithography for multiple technology generations.

This project is a key component of a broader SEMATECH program to extend immersion through novel high refractive index materials in three categories: resist, immersion fluids and lens materials.

Immersion lithography combines the familiar 193 nm light wavelength with a refracting fluid such as water to define patterns as narrow as 45 nm in advanced microchips. Increasing the refractive index of photoresists, along with the immersion fluid and lens material, offers the possibility of extending immersion lithography to patterns as narrow as 32 nm. Also, increasing just the refractive index of photoresists offers the possibility to improve the process latitude of patterned features on a semiconductor wafer, thereby extending the capability of a given lithography toolset.

A two-year grant for $510,000 Australian dollars from the Australian Research Council (ARC) will be matched by SEMATECH with cash and in-kind contributions, including access to advanced immersion lithography exposure tools through SEMATECH's Immersion Technology Center, as well as project management and logistical resources. The ARC is a government agency that funds research that can bring economic, social and cultural benefits to the country.

"One of our basic objectives at SEMATECH is to maximize the world's R&D resources through leverage and creative partnerships," said Michael R. Polcari, SEMATECH president and CEO. "This agreement with ARC certainly exemplifies that aim, and allows us to share expertise with a region that is seeking to become a world leader in microelectronics."

SEMATECH's role in the project was defined by Will Conley, a Freescale assignee. "The research of high-index polymers will provide the industry with an additional avenue for the extension of optical lithography," Conley said. "The partnership between SEMATECH and the University of Queensland already has yielded many interesting material platforms, and this grant from the ARC will permit the further research needed."

Professor Andrew Whittaker, director for the Centre for Magnetic Resonance of the University of Queensland, said: "The support of SEMATECH on this project enables the university to assemble a world-class team dedicated to synthesis of novel resist polymers. From our perspective, the agreement with the ARC and SEMATECH allows us to contribute to an exciting technology of great international importance. From the point of view of the Australian economy, the funding provides employment for talented young scientists, and provides a platform for continued research within Australia in the field of photolithography."

The Australian grant for the project was described as one of the largest awarded by the ARC in recent rounds of funding.

"The materials to be developed are expected to provide the basis of future generations of microchips," according to a project summary that accompanied the grant. "A major outcome of this project will be establishment of Australia as a world leader in this rapidly expanding field."
×
Search the news archive

To close this popup you can press escape or click the close icon.
Logo
×
Logo
×
Register - Step 1

You may choose to subscribe to the Silicon Semiconductor Magazine, the Silicon Semiconductor Newsletter, or both. You may also request additional information if required, before submitting your application.


Please subscribe me to:

 

You chose the industry type of "Other"

Please enter the industry that you work in:
Please enter the industry that you work in: