+44 (0)24 7671 8970
More publications     •     Advertise with us     •     Contact us
*/
News Article

The echelons of etching

News
Pushing the boundaries of process linearity to extremes and the subsequent need for new specification etch tools to exceed these parameters, Dr Chris Constantine, Chief Technical Officer, Unaxis USA, INC discusses.

Etch systems are typically designed to operate best within a specific process space, and many previously unseen process issues will develop when tools are pushed beyond their original design parameters. For this reason, we believe the 45 / 32nm node requires a new mask etch hardware set in order to accommodate the very difficult process results required.

To that end, Unaxis has worked to develop a 5th Generation tool called the MASK ETCHER V (Gen 5), that allows improved CD uniformity control and improved loading effects. The features and initial results will be discussed below. Also, advanced endpoint detection algorithms which can directly optimize signalto-noise will be included and discussed.

CD Uniformity
Measurements: It has become clear that the idea of CD uniformity within masks has needed to be defined in ways which are becoming more and more refined and precise. Mask makers understand that Write CD, Develop CD, Etch Bias CD and other important measurements may have their own systematic patterns or errors which add or confound analysis of a specific parameter of interest. For this reason, it has become a widespread practice to measure with CD instruments at many points during the mask-making process. At Unaxis, we faithfully measure all plates, both after development and after etch. In this way, an accurate assessment of the etch error is achieved and corrected.

All CD measurements are studied through regression analysis, where the entire mask measurement set is fit to a quadratic and linear model, allowing these two components to be studied independently. We find this helpful in that both radial (quadratic) and X-Y (linear) etch errors appear within most mask etch data simultaneously. The new MASK ETCHER V advanced ICP source has internal adjustments for both radial and X-Y errors within the process. These internal adjustments are considered process parameters and are able to be dynamically controlled through the process recipe. Figure 1 schematically shows how the mask raw data is analyzed.

Radial and X-Y Etch Control is improved as the Gen 5 utilizes an advanced ICP source which incorporates designs that allow for compensation of systematic errors within the CD signature. The radial etch term of all plasma etched Binary Cr is typically a compounded result of the process pressure, ICP uniformity, gas flow and ratio among several other interactions. In order to affect the radial etch rate of binary Cr, either the process conditions must be carefully adjusted for each signature, or a common solution through an advanced, flexible ICP source must be used. We have chosen the latter approach and have created an ICP system which is adjustable for varying the outside-in or inside-out signature of a particular etch condition. All this is without varying the process conditions which were so carefully setup for other key uniformity parameters.

Figure 2 demonstrates the advanced ICP source is able to affect the radial etch rates of both Cr and resist in a similar manner, offering a high level of control. Clearly, the fact that the uniformity can be "swung" so strongly leads us to believe the process space has a point which allows for an optimized etch of either the Cr film or the resist; indeed, Figure 3 demonstrates that this is the case.

X-Y control is another development within the Gen 5 advanced ICP source, although this technique is a very different solution than the Radial adjustment. X-Y control is a hardware invention within the ICP, however, it is also integrated into the process parameters of the computer software and therefore, controllable in a dynamic fashion through the process recipe. As with the radial control, we have engineered the X-Y control to have enough adjustment to be able to "flip" the etch signature linearly from corner-tocorner or side-to-side on the mask; Figure 4 demonstrates the range in which this effect can be controlled.

CD Loading Effects:
Loading effects within a Cr mask etch are becoming the single most critical and difficult control issue for the 45 / 32 nm node technology. The individual control of both CD uniformity and CD loading effects is necessary in order to overcome the challenges of these design nodes. The Gen 5 system has experimented with a novel Cr Loading reduction technique which allows for the adjustment of actual Cr etch kinetics within the plasma. Early in the development cycle, it became clear that a study of Cr etch parameter space will only yield improvements of an evolutionary nature, where the 45 / 32 nm Cr etch node may well require revolutionary thought and process experimentation to achieve industry goals. One approach is to adjust the chemical kinetics of the Cr reaction mechanism. Integral to the Gen 5 is a set of hardware allowing manipulation of the Cr etch kinetics to affect several etch responses, perhaps most notably is a loading effect.

Figure 5 demonstrates two strongly asymmetric plates (1-window "nightmare" patterns), etched with the same process chemistry; one plate utilizing the Gen 4 process conditions, while the other plate incorporates the Gen 5 adjustment of the Cr etch kinetics. Clearly, the top plate demonstrates the Cr "Window" effect dramatically, while the bottom, Gen 5 process is able to essentially remove the loading effect of the large window. This result is significant in that typically, large Cr load areas within a mask pattern will etch 20-30% faster than the low Cr load areas. This effect is seen with all high density plasma Cr etch systems and represents a counterintuitive phenomenon within this etch technology. Typically, all plasma etch material systems demonstrate etch rates as slower with increasing exposed load. The effect with Cr films is the opposite, and has proven to be the subject of much worthy academic discussion over the years. We believe this is the first time true etch kinetics can be adjusted for Cr mask fabrication.

Advanced Endpoint Detection:
As discussed above, Unaxis has recently been working to develop new tools that will allow better diagnostics of processes, both off-line and in real time. This effort stems from the fact that subtle variations of process conditions are frequently observed from run to run and these must be minimised to ensure consistent production. The root cause of such variability is open to conjecture, however, as etch systems are pushed to produce mask results often well beyond their engineering designs, advanced endpoint and control algorithms are becoming enabling for achieving advanced process results. The Unaxis EndpointWorks process control system is one such advanced framework for new algorithms and is also included within the Gen 5 platform. Figure 6 is an example of the graphic interface of EndpointWorks.

Historically, most mask etch process engineers are not strongly trained in plasma spectroscopy, so developing a way to remove the necessity of choosing both the spectroscopic emission line, as well as the best technique for high signal quality is essential for repeatable endpoint detection, especially with low exposed Cr loads.

In order to solve this issue, EndpointWorks contains a novel genetic algorithm-based optical emission endpoint detection system that directly optimizes signal-to-noise ratio without Operator input.

This algorithm applies stochastic methods to automatically generate an optimized endpoint recipe, requiring no knowledge of the emission spectrum on the part of the user.

Figure 7 represents two different Optical Emission Endpoint Process conditions for low exposed Cr load patterns (6%); the bottom signal trace represents a standard algorithm whereby the Cr emission line is monitored during the etch. This condition was normalized to a signal-to-noise ratio of 1 (SNR=1).

The upper emission trace utilized an endpoint process recipe chosen by the onboard EndpointWorks optical emission system. The SNR was normalized to the bottom trace for comparison, demonstrating there is a greater than 30x improvement in sensitivity.

To further improve understanding of process repeatability, EndpointWorks can simultaneously data log up to 16 process measurables (e.g. RF match positions, generator output, RF bias, gas flows, throttle valve position, etc.) and can actively display these outputs in the millisecond time frame. This enhancement has proved to be valuable for both process refinement and hardware diagnostics.

Summary
The MASK ETCHER V platform is a new system for advanced 45 / 32 nm node design rules and incorporates several unique refinements and enhancements. CD uniformity has been studied by radial and linear regression analysis to allow small improvements to be captured. We believe these combined enhancements will allow the realization of the very difficult cifications needed for current and future mask etch requirements.

 

REFERENCES
1. J. Plumhoff et al., Improvements in binary chrome CD performance utilizing an optimized 4th generation reactor platform, Proc. SPIE 5256, pp. 736-743, 2003.
2. I.-Y. Lee et al., New method for approaching to the loading free process for photomask Cr etching, Proc. SPIE 5256, pp. 59-65, 2003.
3. H.-J. Kwon et al., Loading effect parameters of dry etcher system and their analysis in mask-to-mask loading and withinmask loading, Proc. SPIE 4562, pp. 79-87, 2001.
4. J.-Y. Lee et al., Analysis of dry etching loading effect in mask fabrication, Proc. SPIE 4562, pp. 609-615, 2001.
5. J. Schneider et al., Compensation of long-range process effects on photomasks by design data correction, Proc SPIE 4562, pp. 59-66, 2001.
6. T. Huen, "Reflectance of thinly oxidized silicon at normal incidence", Appl. Opt. 18, 1927 (1979)
7. S. McNevin et al., "Bias voltage diagnostics during oxide etch in Drytek 384T", J. Vac. Sci. Technol. A 11, 1142 (1993)
8. T. Bibby et al., "Optical endpoint detection for chemical mechanical planarization", J. Vac. Sci. Technol. B 17, 2378 (1999)
9. D. Johnson et al., "Comparison of Endpoint Methods in Advanced Photomask Etch Applications", Proc. SPIE 4889, 40 (2002)
10. H. Handa et al., "Process monitoring of chrome dry-etching with RF sensor for reticle production beyond 90-nm node", Proc. SPIE 5256, 85 (2003)
11. C. Constantine, et al, Plasma Etch of Binary Cr masks: CD Uniformity Study of Photomasks Utilizing Varying Cr Loads: III, SPIE Proc, 4186, 85 (2000)
12. C. Constantine, et al, Inductively Coupled Plasma Etch of DUV MoSi Photomasks: A Designed Study of Etch Chemistries and Process Results, SPIE Proc, 3546, 88 (1998)

×
Search the news archive

To close this popup you can press escape or click the close icon.
Logo
×
Logo
×
Register - Step 1

You may choose to subscribe to the Silicon Semiconductor Magazine, the Silicon Semiconductor Newsletter, or both. You may also request additional information if required, before submitting your application.


Please subscribe me to:

 

You chose the industry type of "Other"

Please enter the industry that you work in:
Please enter the industry that you work in: