+44 (0)24 7671 8970
More publications     •     Advertise with us     •     Contact us
*/
News Article

Lithography

News
SEMATECH Workshops
SEMATECH-led Workshops Scope Progress & Challenge: An inside view of the recent event

SEMATECH-led Workshops Scope Progress & Challenges for EUV Manufacturing

SEMATECH recently held an EUV symposium in Barcelona. Against the backdrop of mounting progress in the development of extreme ultraviolet lithography (EUVL), industry experts at recent SEMATECH-led workshops identified a broad range of evolving challenges in preparing the technology for high-volume manufacturing.

The challenges include the need to decide soon on an energy source for EUV beta tools, tackling mask non-flatness related image placement issues for EUV photomasks, and developing standards to measure resist outgassing. Balancing these issues, technologists also heard workshop summaries of significant surges in mask blank defect reduction, increasing resist sensitivity, and timely availability of critical development tools.

“We recognize our role to provide coordination and industry direction for information presented at seminal meetings such as the EUV Symposium,” said Stefan Wurm, SEMATECH’s EUV Strategy Manager. “Our workshops were designed to maximize the value of EUV research data for eventual manufacturing insertion.”

""

The workshops coincided with the 2006 International Symposium on Extreme Ultraviolet Lithography, which was organized by the EUV Cluster Steering Council in cooperation with SEMATECH and fellow consortia EUVA and ASET. Following the Symposium, litho technologists gathered at SEMATECH’s EUV Source Workshop, EUV Mask Technology & Standards Workshop, and a joint session of SEMATECH’s EUV Optics Lifetime/Contamination Workshop and the International EUV Initiative (IEUVI) Resist Technical Working Group (TWG). Among challenges identified in the meetings were:

● The industry soon must settle on an affordable and reliable energy source that can support an EUV beta tool. Wurm said a discharge produced plasma (DPP) system using tin as fuel, which could generate 50 to 60 watts of power at intermediate focus, is the most likely candidate.

“Getting this type of system ready for beta testing would require an engineering effort rather than intensive research,” Wurm noted.

However, workshop participants also felt scientists should continue exploring long-term sources that could generate greater wattage, especially if power requirements continue to increase and DPP sources cannot be scaled beyond 250W at intermediate focus.

● Development of an integrated source module - incorporating significant progress in collector design, debris mitigation, and spectral purity filtering - must remain an industry priority. At the Symposium, suppliers Media Lario and Xtreme technologies demonstrated collector designs for 10W tin DPP sources that were scalable to 40W sources, while PhysTex showed spectral purity filters with nearly 80 percent effectiveness. Participants began assessing the challenges of making a highly reliable, integrated source with 40W to 50W at intermediate focus available by 2009 for beta testing.

● The industry should create “EUV Source Centres of Excellence” to tackle the challenges of materials engineering, plasma/materials, and interactions involving materials, plasma and debris. Such centres are viewed as critical for helping source suppliers developing successful, commercial-grade EUV sources. Possible Centres of Excellence include Argonne National Laboratory, the University of Illinois, and the University of Central Florida, said SEMATECH’s Vivek Bakshi, EUV Source Workshop organizer. “SEMATECH’s current and past projects with these institutions have already produced critical data for the industry,” Bakshi noted.

“With initial, full-field EUV tools now becoming available, chip-makers and tool suppliers feel a growing need to address image placement challenges throughout the mask life cycle,” said SEMATECH’s Phil Seidel, organizer of the Mask Workshop. Especially problematic are stringent flatness requirements for EUV masks, since mask substrate flatness changes each time a new film is applied. Workshop experts called for a definition of “flatness” common to mask-making stakeholders, along with specifications for flatness data needed between film-application and pattering steps.

● The need to develop standards for measuring outgassing in EUV resists was identified in a joint session of the International EUV Initiative (IEUVI) Resist Technical Working Group (TWG) and SEMATECH’s EUV Optics Lifetime/Contamination Workshop. Organizers Kim Dean and Andrea Wüest of SEMATECH stressed that outgassing benchmarks by resists specialists must be supported by lifetime/contamination experts. “The key is to learn what outgassing fragments are responsible for optics contamination and to reproducibly measure them , so that engineers measuring outgassing from a given resist at different places and times obtain similar results,” Dean explained. “SEMATECH will continue to drive this effort to achieve consistent benchmarking,” Wüest added.

The workshops also identified several examples of SEMATECH-led improvements in EUV technology:

● Significant advances in EUV resists have been made over the past year, largely through use of SEMATECH microexposure tools (METs) at SEMATECH’s EUV Resist Test Centre (RTC) in Albany, NY and the University of California at Berkeley; both tools have been made available to the industry. At the Symposium and subsequent workshops, researchers showed dense lines and spaces below 30 nm, with good sensitivity (10- 20mJ/cm2) and reasonable line edge roughness (4-6 nm). Resist specialists also called for strategies to address line width roughness, a problem that affects resists for both EUV and 193 immersion lithography.

""

● SEMATECH’s decision to provide industry access to its one-of-a-kind actinic inspection tool, also located at Berkeley, was recognized as a boon to mask researchers. The Berkeley system, which uses dark and bright field modes to find defects that no other tool can detect, is being used to benchmark other inspection systems and to evaluate mask blank defect repair results using the only available aerial imaging capability world-wide today. (Ken Goldberg, principal investigator for the tool project, earlier had impressed Symposium attendees by demonstrating 100 nm imaging resolution and 25 nm resolution at the mask and wafer levels, respectively). Wurm said SEMATECH will sell tool time preferentially to member companies and subsequently to nonmembers, beginning in 2007.

● Defect-free reticle shipping and handling received a boost when Long He of SEMATECH reported zero added defects in very harsh shipping tests involving three masks, and only one added defect in a three-month storage test.

In a related event coinciding with the workshops and discussed there, SEMATECH engineers took a significant step toward achieving zero-defect mask blanks by combining defect-smoothing and deposition processes in one step within a single tool. (This achievement occurred at SEMATECH’s Mask Blank Development Centre [MBDC], which is a joint program with the College of Nanoscale Science and Engineering [CNSE] of the University at Albany, NY, home of the Albany NanoTech complex, a global centere for nanoelectronics research and development.)

There, researchers using a proprietary process integrated smoothing of substrate pit-type defects with defect-free multilayer deposition within a Veeco tool. “Smoothing by itself introduces many defects, and then you have to struggle to get back to your previous low-defect level,” Wurm explained. “By combining smoothing with deposition, we have given the industry a key enabler for continuing the reduction of substrate defects in EUV mask blanks.”

SEMATECH’s MBDC and EUV-RTC are leading a global infrastructural effort to develop defect free mask blanks and provide the critically needed exposure capabilities that enable the rapid progress of resist suppliers toward meeting future manufacturing requirements.

×
Search the news archive

To close this popup you can press escape or click the close icon.
Logo
×
Logo
×
Register - Step 1

You may choose to subscribe to the Silicon Semiconductor Magazine, the Silicon Semiconductor Newsletter, or both. You may also request additional information if required, before submitting your application.


Please subscribe me to:

 

You chose the industry type of "Other"

Please enter the industry that you work in:
Please enter the industry that you work in: