+44 (0)24 7671 8970
More publications     •     Advertise with us     •     Contact us
*/
News Article

MicroNanoSystems

News
Thin Wafer Processing in High Vol. Manufacturing
To meet the demand of device size reduction, manufacturers continue to look for ways to reduce component space. Stefan Pargfrieder, Paul Linder, EVG Group and Steven Dwyer, Thorsten Matthias, EVG U.S. discuss two methods.

Thin Wafer Processing – Handling and processing technologies utilised in high volume manufacturing

As semiconductor manufacturers continue to push the thickness of devices and wafers down, new and disruptive methods have to be utilised to meet manufacturing challenges associated with new products and processes. Stefan Pargfrieder, Paul Lindner, from EV Group and Steven Dwyer, Thorsten Matthias from EVG U.S, discuss two complementary approaches of thin wafer processing considered for implementation into a high volume manufacturing environment.

The main driving forces for ultra-thin semiconductor devices can be found in the field of High integration Microelectronics, System on Chip applications, power applications and in the compound semi industry, as well as others. Enabling manufacturing and processing of thin semiconductor devices on wafer-level can be utilised by two complementary technologies.

""

Processing of Thin, Unsupported Wafers
In order to enable processing of already thin, unsupported wafers, the main difficulties are based on the flexibility, the brittle and fragile behaviour as well as on the potential bow and warpage of those substrates. Due to those properties, the main challenges are shifting towards handling issues, to have dedicated storage and transport boxes in place, to be able to bring the thin wafers from the transport box to the individual process equipment as well as to be able to transfer the thin wafer to and from the individual process modules within the equipment.
For storage and transport boxes, four alternatives can be seen.

""

First, dedicated wafer cassettes with dedicated design for holding thin wafers (13 slots). Second, transfer and storage of thin wafers in a coin-stack box. Third, single wafer carrier boxes, where each wafer is placed into an individual box, can also be utilised in manufacturing environments. Fourth, attachment of the thin wafer onto a filmframe can also be seen as an alternative.

Each alternative has its own properties, advantages and disadvantages, where usually the selection of choice is made based on the requirements and boundary conditions obtained in the individual manufacturing environment.

For further transfer of the thin wafers onto the process equipment and the individual process modules, dedicated handling capability has to be available.

As an application example, for the transfer of thin wafers from a double-pitch cassette, a dedicated robot-endeffector (Bernoulli) in combination with a vacuum-enforced transfertechnology on each individual process module is normally used. This approach allows a safe and reliable pick-up of the wafer from the cassette, by doing first a planarization of the wafer and keeping the wafer flat during each individual process and transfer step.

Due to the well known and above described behaviour of thin wafers and the handling constraints, the above discussed approaches, handling and processing of unsupported thin wafers is only realised and implemented into a volume manufacturing environment if there are no other alternatives found.

Temporary Bonding and Debonding
The most accepted possibility in the semiconductor high volume manufacturing industry to prevent wafer damaging during processing is to temporarily bond the device wafer to a suitable rigid carrier substrate. Subsequent advantages of using a rigid
carrier is the protection of the active surface of the device wafer during grinding and polishing procedures and the flattening of the, in most instances extremely warped wafer material. The principle of temporary bonding and de-bonding is shown in figure 3.

""

The originally thick device wafer is bonded with its active surface to a carrier wafer using a dedicated intermediate layer. After backside processing, including the thinning process and eventually further process steps (lithography, etching, etc.), the thin device wafer, supported by the rigid carrier substrat gets released (de-bonding) from the carrier wafer. Further cleaning of the thin wafer as well as transfer of the thin wafer into the dedicated output format (cassette, coin-stack, single wafer carrier, filmframe) enables further handling and processing (e.g. testing, dicing, packaging, etc.)

The selected kind of intermediate material used for temporary bonding has to ensure that the two wafers are bonded together in a reliable way until the debonding takes place.

Considerations for the Selection of Types of Intermediate Materials
Several different aspects have to be considered before deciding on the type of intermediate layer for the targeted application.

Listed below are some of the major criteria:

Maximum Temperature Capability
The maximum temperature capability of the intermediate material is a key factor enabling further processes. Today’s available waxes or dry-film laminates enable maximum release temperatures up to 200°C, where newly developed high temperature spin-on adhesives offer a wide range of operating temperatures up to 250°C or even higher. As matter of fact, high temperature adhesives are de-bonded by heating up to their respective softening temperature and further slide-liftoff debonding.

Achievable TTV
The total thickness variation of the intermediate layer severely affects the back-grinding process as well as the actual thickness of the thinned device wafer. Achieving highest uniformity of the bonded waferstack is therefore mandatory to ensure highest uniformity during for further backside steps.

Chemical resistance
The resistance of the intermediate material to the chemicals used during the backside processing of the bonded device wafer (back-grinding, coating and developing, etching..) is a critical consideration aspect. A chemical attack, can affect the properties of the material and therefore cause unwanted effects during further backside processing steps or substantially change the de-bonding behaviour.

High vacuum capability
Especially during etching processes ultra-high vacuum levels are often applied to the wafer stack.
Trapped air bubbles in the interface (due to poor TTV of substrate or carrier, poor uniformity of adhesive or dry-film laminate) tend to discharge through the thinner and more brittle device wafer, potentially causing damaging or yield losses.

Ease of processing
This criteria involves mainly the ease of material application (spin coating in case of adhesives and lamination in case of dryfilm tape), the ease of the de-bonding process (heat release, UVrelease or solvent release) and its degree of achievable automation as well as the ease of cleaning of the device wafer after de-bonding.

Intermediate Materials
Today’s available intermediate materials can be differentiated as following:

- Dry Film Adhesives
Commercially available thermal release films are usually laminated with their permanent adhesive side onto the carrier wafer, whereas the thermal release side is bonded to the device wafer. Maximum process temperature is limited below 150-180°C. Debonding is done by heating or UV-exposure and subsequent wedge lift-off.

- Waxes
Waxes are usually applied onto the carrier wafer with dedicated coating system. The temperature capability of the waxes is typically below 150°C. Debonding is done by heating and subsequent slide-lift off.

- Spin-On Adhesives
Those, in conjunction with Brewer-Science newly developed class of high-temperature capable thermoplast materials is applied by Spin coating on the wafer before bonding takes place. The temperature capability actually goes up to 250°C whereas further development activities would enable 300°C or even higher.

For debonding, a slide lift off is performed after heating up to the softening point.

Temporary Bonding with Spin-On Adhesives (Brewer Adhesives, Waxes,...)
Reversible wafer bonding, using low or high temperature adhesives usually require a spin-coating step in liquid phase, where the highest level of uniformity for the spin-coated adhesive is essential as mentioned above. The EVG850 platform is a fully automated temporary bonding system configurable for processing various spin-on materials with coating station, hot plates and bond chambers.

""

First, the device wafers are spin coated in a coating module. The thickness of the spin coated adhesive layer ranges might range up to some tens of µm, depending on the topography which has to be covered in the wafer-interface. Prior to bonding, a bake step is done to get rid of the solvent.

The bond occurs in the bonding chamber under controlled atmosphere. To get bubble-free bonds this step is performed under vacuum and controlled temperatures with highest temperature uniformity.

Temporary Bonding with Dry-Film Laminates
The recently increasing popularity of dry-film adhesive tapes especially for thermal-release bonding in the thin wafer industry can be mainly attributed to the enhanced thermal release temperature, the improved TTV (<2µm) and the ease of the application. The EVG850 Temporary Bonder platform with dryfilm Lamination addresses high volume manufacturing applications. A new method for cutting the dry-film laminate is implemented in the EVG850 and show several advantages compared to laser or blade cutting technologies. The dry-film adhesive tape, supplied to the machine on a reel-to-reel basis, gets punched out very precisely and is laminated onto the carrier substrate with optical tape to carrier alignment within +/-20µm after automatically removing both protective films. Figure 6 illustrates the process flow in the dry-film lamination module. under controlled process parameter (vacuum, temperature, force).

""

The laminated carrier gets transferred to the bonding module (right side in Figure 6). The device wafer will be bonded to the laminated carrier substrate in a bond chamber under controlled process parameter (vacuum, temperature, force).

Advantages of the punching technology compared to the cutting technologies (laser and blade cutting) are better edge quality, no carrier edge degradation through cutting blades, more flexibility in tape dimension and shapes (tape diameter smaller than carrier substrate diameter possible, thus enabling pyramid structured assemblies for minimum wafer edge breaking rates) and no wear of the punching module.

DeBonding
After the dedicated backside processes are finished, the debonding-process takes place. First, the selection of the appropriate debonding method (wedge-lift off, slide lift-off, UVdebond) has to be selected. This selection depends on the type of intermediate material, which originally was used for temporary bonding. E.g. for Brewer Science High Temperature Spin-On Adhesives, a thermal release slide-lift off is performed, waferstacks with thermal release tapes are debonded by wedge lift-off. After debonding, the thin wafer is then transferred to the single wafer cleaning station, where the remaining intermediate material is removed. Both wafers (device wafer and carrier wafer) are cleaned in this station, here again the selection of cleaning method and solvent depends on the type of the intermediate material. After cleaning, the thin wafer is transferred into the dedicated output format (thin wafer cassette, coin-stack module, single wafer carrier or filmframe).

""

On the EVG850 De-bonder in Figure 4 the processed wafer stack is separated through a slide lift-off step. The thin device wafer, supported through a dedicated thin wafer handling technology, is further transferred to the cleaning station. Finally, the thin wafer is unloaded onto single wafer carriers, whereas alternatively film frame mounting, or unloading in thin wafer cassettes can be done. Finally the carrier is also cleaned in the cleaning station before it can be reused. The EVG850 De-Bonder platform can also be easily configured for temperature or UVrelease of dry-film intermediate layers.

Summary
This article introduces equipment technology for the high volume manufacturing thin wafer industry. Fully automated temporary bonding equipment (EVG850 Temporary Bonder) is able to mount the device wafer onto a carrier substrate with its active side facing the intermediate adhesive layer.

The intermediate adhesive layer can either be applied in liquid form (adhesive, wax or thick resists) via spin coating or in rigid form (dry film adhesive tape) via fully automated lamination. After finishing the backside processing of the backthinned device wafer it can be de-bonded in a fully automated manner on the EVG850 De-bonding platform. Depending on the type of de-bonding, the release of the device wafer is performed by heating up the wafer stack above the release temperature or exposing it with UV light. After cleaning and removal from the remaining intermediate material, the thin wafer will be transferred into the dedicated output format in order to further enable safe and reliable handling and processing.

×
Search the news archive

To close this popup you can press escape or click the close icon.
Logo
×
Logo
×
Register - Step 1

You may choose to subscribe to the Silicon Semiconductor Magazine, the Silicon Semiconductor Newsletter, or both. You may also request additional information if required, before submitting your application.


Please subscribe me to:

 

You chose the industry type of "Other"

Please enter the industry that you work in:
Please enter the industry that you work in: