+44 (0)24 7671 8970
More publications     •     Advertise with us     •     Contact us
*/
News Article

Synopsys and UMC deliver 65-nanometer reference flow

News
Synopsys Incorporated, a leader in software and IP for semiconductor design and manufacturing, and the semiconductor foundry, UMC, have announced the release of a 65-nanometer (nm) hierarchical, multi-voltage RTL-to-GDSII reference design flow.

The flow is based on Synopsys' Galaxy Design Platform and features the IC Compiler place-and-route solution and the Design Compiler Ultra topographical synthesis solution for comprehensive design implementation support. Key features of the reference flow include support for power management with multi-voltage design and power gating, as well as design-for-manufacturing (DFM) capabilities with the addition of critical area analysis (CAA).Power gating reduces standby leakage by shutting off areas of the chip that are not in use for a particular function.

The CAA capability, provided in IC Compiler, determines the likelihood of random particle defects affecting the overall design. Engineers can use this capability to identify design structures that have a higher probability of yield loss and correct them before manufacturing. This combination of tools and flow better equips engineers to reduce power consumption and improve yield, both significant 65-nm design challenges.

The reference flow also utilises Synopsys' Design Compiler Ultra topographical synthesis engine, enabling engineers to accurately predict chip performance results such as timing, area, testability and power consumption during logic synthesis. Using this engine, engineers can evaluate the chip and make early-stage modifications to provide a better starting point for physical implementation, reduce or even eliminate iterations between synthesis and physical implementation, and accelerate the design cycle.

The reference flow also includes automatic level shifter insertion, placement, optimization and verification. Voltage area (VA) creation, power-switch cell insertion, VA-aware physical optimisation, clock-tree synthesis and routing are utilised to reduce dynamic power consumption. The multi-voltage timing flow closure includes signal integrity (SI) prevention, repair and signoff, and multi-voltage analysis. Additional DFM features include redundant via insertion, via-farm/via-array rules, and timing-driven metal fill.

Synopsys Professional Services and UMC engineers validated the reference flow using the test chip tape-out for ‘Leon', an open-source 32-bit RISC microprocessor core. The test chip was partitioned into multiple voltage regions using the advanced, low-power reference flow. UMC also utilised its own internally developed library for its 65-nm design process. The resulting test chip is highly configurable and expandable with additional digital and analog/mixed-signal intellectual property.

×
Search the news archive

To close this popup you can press escape or click the close icon.
Logo
×
Logo
×
Register - Step 1

You may choose to subscribe to the Silicon Semiconductor Magazine, the Silicon Semiconductor Newsletter, or both. You may also request additional information if required, before submitting your application.


Please subscribe me to:

 

You chose the industry type of "Other"

Please enter the industry that you work in:
Please enter the industry that you work in: