+44 (0)24 7671 8970
More publications     •     Advertise with us     •     Contact us
*/
News Article

Lam Research Corporation announce production of

News
Lam Research Corporation, a supplier of semiconductor wafer fabrication equipment and services, has announced the production release of its new Coronus plasma-based bevel cleaning system.
Designed to reduce yield loss caused by defects that originate near the wafer’s edge, the Coronus system combines the multiple material cleaning capability of plasma with a proprietary confinement technology that protects the die area. Available in both 200 mm and 300 mm configurations, the system is built on the Lam Research production-proven 2300 platform with Dynamic Alignment, which provides a repeatable target cleaning area, wafer to wafer and lot to lot. The target cleaning area can be defined precisely on the top and bottom edges of the wafer independently. Several major semiconductor customers are now moving into production with the Coronus bevel clean system. “As the plasma etch market leader, we are leveraging the experience of a global installed base of greater than one thousand 2300 platforms with our introduction of the Coronus system. The platform’s proven wafer handling accuracy is enabling our Coronus customers to manage the wafer edge with the same level of precision and control they demand of advanced patterning processes in the fab,” said Jeff Marks, Lam Research vice president of New Businesses. “With introduction of the Coronus system, edge defects can now be managed throughout the wafer flow with a single system. Customers have attributed significant defect reductions to employing the Coronus system for wafer edge cleaning, and we believe that controlling the wafer edge throughout processing will lead to lower defects and higher overall yield.” “The Coronus product allows using one system to manage edge defectivity following a wide range of semiconductor processes,” said Daniel Liao, Lam Research group vice president, Asia Pacific, “and customers who have qualified the system for production are anticipating improved yield and yield stability. In working with customers, they see significant opportunity to reduce defects and enhance yield by actively managing the wafer’s edge at multiple points in both front- and backend processes.” The wafer edge, where deposited films terminate and overlap with underlying materials, has been identified as a primary source of defects. The problem is exacerbated as manufacturers seek to reduce edge exclusion in order to increase the number of die on the wafer. Although the greatest impact of edge defects is on adjacent die, material from edge defects can damage die at any point on the wafer. Mechanical-, chemical-, and laser-based cleaning methods lack the precise control and universal applicability of plasma cleaning. Initial evaluations of the Coronus system have focused on edge defects in front-end of line (FEOL) processes for shallow trench isolation (STI) and contact formation. Immersion lithography, with its potential for transporting materials from the edge to the active area, and multiple strategies in dual damascene processes in back-end of line (BEOL), are also candidates for significant yield gains from reduced edge defectivity.
×
Search the news archive

To close this popup you can press escape or click the close icon.
Logo
×
Logo
×
Register - Step 1

You may choose to subscribe to the Silicon Semiconductor Magazine, the Silicon Semiconductor Newsletter, or both. You may also request additional information if required, before submitting your application.


Please subscribe me to:

 

You chose the industry type of "Other"

Please enter the industry that you work in:
Please enter the industry that you work in: