+44 (0)24 7671 8970
More publications     •     Advertise with us     •     Contact us
*/
News Article

Accretech joins SEMATECH’s collaborative programme

News
The company becomes an associate member in SEMATECH's 3D Interconnect Programme.

Accretech Tokyo Seimitsu, a manufacturer of precision measuring and semiconductor manufacturing equipment, and SEMATECH, the global consortium of chip makers, announced that Accretech has become an associate member in SEMATECH's 3D Interconnect Programme located at the College of Nanoscale Science and Engineering (CNSE) of the University at Albany. SEMATECH's program is aimed at evolving the traditional copper/low-k interconnect technology to three dimensional chip stacking, including through silicon vias (TSVs) as interconnects. Launched two years ago, the 3D program has been recently opened to equipment and materials manufacturers, assembly and packaging companies, and others, in addition to SEMATECH's member companies. Accretech's membership is the latest example of this new collaborative model that encourages participation with SEMATECH members in focused, co-operative R&D.

Sadakatsu Suzuki, President and CEO of Accretech, said, "As an equipment manufacturer, Accretech-Tokyo Seimitsu wants to contribute to the development and improvement of 3D chip and stack technology, working with the most advanced equipment and technology in the SEMATECH 3D Interconnect program."

"We all recognize that collaboration among various disciplines across the industry will be required to realize the full potential of 3D. This is a perfect example of the evolution of the SEMATECH model where we are actively engaging with leading edge equipment and materials suppliers and leveraging their expertise to deliver manufacturable process solutions," said John Warlaumont, SEMATECH vice president of technology. "We look forward to working with Accretech to deliver the robust, manufacturable processes that will be key to our member companies' success in adopting 3D TSV technology."

Dr. James G. Ryan, Associate Vice President of Technology and Professor of Nanoscience at CNSE, said, "We are delighted to welcome Accretech Tokyo Seimitsu to the UAlbany NanoCollege, where it joins the fast-growing list of the world's leading high-tech companies engaged in cutting-edge nanoelectronics research at CNSE's Albany NanoTech Complex. This is further evidence that the vision and investment of New York's elected officials in supporting International SEMATECH's expansion at CNSE is paying dividends through world-class education and research, combined with economic outreach and growth."

"Being able to thin wafers uniformly with minimal damage and then handle the thinned wafers during subsequent processing are critical requirements for 3D processing," said Sitaram Arkalgud, SEMATECH's 3D program director. "Accretech is well known and respected throughout the semiconductor community for its expertise in the area of wafer thinning, handling and dicing, and their participation in SEMATECH's 3D program will be very valuable. We share the belief that 3D integration will be a key driver of chip performance and functionality, and working together we will accelerate progress toward industry wide implementation."

3D interconnect technology requires bonding semiconductor wafers and/or dies and often uses deep through-silicon vias. The goal of SEMATECH's program at UAlbany NanoCollege is to enable high-volume manufacturing of 3D chips by its members with an optimum combination of cost, functionality, performance, and power consumption. When ready for volume manufacturing, 3D interconnects will provide cost effective ways to integrate diverse CMOS technologies, and eventually link CMOS chips with emerging technologies such as micro- and nano- electromechanical systems (MEMS, NEMS) and bio chips. SEMATECH's 3D program is addressing the infrastructure and development challenges in 3D-TSV, including materials characterisation, unit processes and integration, equipment hardening, reliability, and benefit to device and circuit performance. Additional elements include cost of ownership modelling, process benchmarking, and establishing standards and technology roadmaps. "Our mission is to make 3D-TSV both manufacturable and affordable," said Arkalgud. "We will prove its very real advantages over conventional, two dimensional designs, especially in reduced development costs and faster time to market. We welcome Accretech's membership, and look forward to their participation in this very exciting enterprise."

×
Search the news archive

To close this popup you can press escape or click the close icon.
Logo
×
Logo
×
Register - Step 1

You may choose to subscribe to the Silicon Semiconductor Magazine, the Silicon Semiconductor Newsletter, or both. You may also request additional information if required, before submitting your application.


Please subscribe me to:

 

You chose the industry type of "Other"

Please enter the industry that you work in:
Please enter the industry that you work in: