+44 (0)24 7671 8970
More publications     •     Advertise with us     •     Contact us
*/
News Article

Solution for new design challenges

News
New TSMC Reference Flow 9.0 supports 40nm process technology.
Continued improvements in low power design, statistical design, and DFM
Taiwan Semiconductor Manufacturing Company introduced Reference Flow 9.0, the latest version of TSMC's design methodology to lower design obstacles, improve design margins, and increase yields of its 40nm process technology. Reference Flow 9.0 is also one of the key collaborative components of the company's recently unveiled Open Innovation Platform (OIP).

Hosted by TSMC, the OIP is available only to customers and ecosystem partners to improve time to market, increase return on investment, and reduce waste. The OIP is built on TSMC's design enabling building blocks and an ecosystem interface.

Reference Flow 9.0 addresses new design challenges of TSMC's advanced technologies up to and including 40nm process technology, with features such as transparent half node design, support for new low power automation flow via Unified Power Format (UPF) in addition to Common Power Format (CPF) enabled flow, new statistical design features, and hierarchical DFM capability.

The TSMC OIP promotes the speedy implementation of innovation amongst the semiconductor design community, its ecosystem partners and TSMC's IP, design implementation and DFM capabilities, process technology and backend services. A key element of the OIP is a set of ecosystem interfaces and collaborative components initiated and supported by TSMC that more efficiently empowers innovation throughout the supply chain and which enables the creation and sharing of newly created revenue and profitability. TSMC's Active Accuracy Assurance (AAA) initiative is a critical part of the OIP, providing the accuracy and quality required by the ecosystem interfaces and collaborative components.

Reference Flow 9.0 is supported by the same AAA initiative that defines standards of accuracy for all of TSMC's design ecosystem partners. Reference Flow 9.0 focuses on ease of use and provides a reference of qualified design tools and flows that give designers a proven path from specification to tape out.

"A number of TSMC customers have started their next generation chip designs in our latest 40nm process technology and require a reference design methodology," said S.T. Juang, senior director of design infrastructure marketing at TSMC. "Reference Flow 9.0 is the result of our early and deep collaboration with EDA vendors and other design ecosystem partners. The result is a production proven design infrastructure that allows designers to take full advantage of our most advanced process technologies."

Reference Flow 9.0 includes a number of innovative power reduction techniques including TSMC's advanced clock gating design flow for dynamic power reduction. The new low power clock tree synthesis supports multi mode/multi corner, and on chip variation to reduce active and leakage power. Reference Flow 9.0 supports both the CPF and UPF. Additional validation has been carried out on the interoperability of the low power solutions provided by the UPF members. Together these features reduce chip power consumption, extend battery life for portable devices, and reduce system packaging and cooling costs.

Reference Flow 9.0 delivers transparent half node designs, eliminating the need for designers to define the half node scaling factor multiple times in different tools throughout whole design cycle in order to migrate a design from a full node to a "shrink" node by traditional design flows. Designers can use Reference Flow 9.0 to start chip design using 45nm rules, and transparently target the design toward 40nm without explicitly dealing with a multitude of scaling factors.

Reference Flow 8.0 introduced the first foundry design methodology to include intra die statistical timing analysis along with statistical leakage and statistical timing optimisation. To further improve setup and hold timing margins, Reference Flow 9.0 now supports stage based on chip variation, as well as design specific on chip variation derived from statistical analysis. In addition, new transistor level path based statistical static timing analysis (SSTA) is introduced to enhance timing accuracy and reduce the need for pre characterised cell libraries. These features enable designers to reduce excess design margins, optimise design performance and increase yields.

Reference Flow 9.0 provides significant improvements in both physical and electrical DFM capabilities to speed up DFM analysis for large designs and address potential parametric performance shifts caused by DFM effects. Reference Flow 9.0 offers hierarchical DFM analysis for all three physical DFM effects: LPC, CMP and CAA, significantly reducing design iterations, accelerating DFM analysis, and improving accuracy when DFM information is annotated to the design abstracts.

Electrical DFM improvements include table based DFM-LPE extraction flow for faster extraction turnaround, while maintaining the accuracy of the model based DFM approach. Shape to Electrical (S2E) and Thickness to Electrical (T2E) DFM engines are now silicon based models, which improves the accuracy of the predicted electrical performance of the design. Hierarchical analysis capability and more accurate DFM models shorten design cycles by enabling designers to anticipate DFM issues and take necessary measures to improve design robustness and increase yields.
×
Search the news archive

To close this popup you can press escape or click the close icon.
Logo
×
Logo
×
Register - Step 1

You may choose to subscribe to the Silicon Semiconductor Magazine, the Silicon Semiconductor Newsletter, or both. You may also request additional information if required, before submitting your application.


Please subscribe me to:

 

You chose the industry type of "Other"

Please enter the industry that you work in:
Please enter the industry that you work in: