+44 (0)24 7671 8970
More publications     •     Advertise with us     •     Contact us
 
Loading...
News Article

Fractilia adds overlay metrology capability

News

Fractilia Overlay Package offered as an optional feature for Fractilia’s MetroLER and FAME products, which have been adopted by dozens of industry-leading companies.

Fractilia has introduced the Fractilia Overlay Package, an optional offering that adds critical new overlay measurement and analysis capabilities to Fractilia’s MetroLER and FAME products. Fractilia’s products, which combine the company’s patented Fractilia Inverse Linescan Model (FILM) technology with true computational metrology, are the only proven fab solutions that provide highly accurate and precise measurements of all major stochastic effects – the single largest source of patterning errors at advanced nodes. Fractilia is currently engaged with multiple leading chip manufacturers in analyzing their SEM-based overlay data with the new Fractilia Overlay Package.

In semiconductor manufacturing, overlay is the precise placement of each patterned layer relative to a previous layer to ensure electrical contact and produce a functioning device. Optical-based metrology tools have traditionally been used by chip manufacturers to measure and control this pattern overlay, which is essential to producing high-yielding and high-performing semiconductor devices. These measurements are made on special targets in the scribe line rather than in the device itself. As feature sizes of chips continue to shrink and as stochastic variability has increased with the adoption of EUV patterning, there is a growing offset between what is measured in the scribe line and what is happening in the device. As a result, interest is growing in the use of SEM tools to measure overlay due to their higher resolution and precision compared to optical tools. However, SEMs introduce noise in the SEM image, which can be easily confused with stochastic variability on the wafer.

“SEM-based overlay measurements are increasingly being used to improve advanced patterning process control, but they are influenced by the same random and systematic SEM errors that affect other stochastics measurements,” stated Fractilia CTO Chris Mack. “Fractilia is unparalleled in measuring and subtracting out SEM noise through our proven FILM technology, and as a result, our customers are turning to Fractilia to help them apply our technology to improve the accuracy of their SEM overlay measurements. In addition, by combining SEM stochastics measurements with optical overlay measurements through our Fractilia Overlay Package, we believe that we not only can improve SEM overlay metrology accuracy, but also provide better lot dispositioning and correctables, which in turn can improve patterning control and reduce non-zero offset (NZO) or its variability.”


“Unbiased” Measurements Provide More Accurate Description of What’s on the Wafer

Fractilia’s FAME portfolio of solutions uses a proprietary and unique physics-based SEM modeling and data analysis approach that measures and subtracts the random and systematic errors from SEM images to provide measurements of what is on the wafer rather than what is on the images. FAME measures all major stochastic effects simultaneously, including line-edge roughness (LER) / linewidth roughness (LWR), local CD uniformity (LCDU), local edge placement error (LEPE), and stochastic defects, as well as provides CD measurements. It provides the highest signal-to-noise edge detection in the industry (up to 5x higher signal-to-noise ratio than other solutions), and extracts more than 30x more data from each SEM image.

With the new Fractilia Overlay Package, Fractilia adds highly accurate SEM-based overlay measurements, including their stochastic properties, on top of its existing measurement capabilities. Fractilia’s products have been adopted throughout the industry by dozens of companies, including leading semiconductor manufacturers, equipment companies, materials suppliers and research organizations.

Reality AI Explorer Tier offers free AI/ML development access
AEM introduces new generation of Automated Burn-In Systems
NPUs are emerging as the main rival to Nvidia’s AI dominance, says DAI Magister
Camtek receives a $20M order from a Tier-1 OSAT
Free samples of every STMicroelectronics NPI IC available from Anglia
NY CREATES and SEMI sign MoU
Major government investment to 'propel' Canada
QuickLogic announces $5.26 million contract award
ASNA and Athinia collaborate
Global sales forecast to reach record $109 billion in 2024
AMD to acquire Silo AI
Nanotronics unveils 'groundbreaking' Gen V AI Model
Aitomatic unveils SemiKong
Biden-Harris Administration reveals first CHIPS for America R&D facilities and selection processes
Collaboration to produce cutting-edge AI accelerator chips
Oxford Ionics breaks global quantum performance records
Adeia wins ECTC Award for paper on “Fine Pitch Die-to-Wafer Hybrid Bonding”
AEM introduces new generation of Automated Burn-In Systems
Sydney council forges vital semiconductor agreement
EV Group's EVG880 LayerRelease wins 2024 Best of West
Biden-Harris Administration to invest up to $1.6 billion
Cyient sets up subsidiary for semiconductor business
EMD Electronics network leaders honoured
Accenture acquires Cientra
Introducing Park FX200
Deep learning chipset market to surge to $72.8 billion by 2033
PI Innovation Award 2024: Nanobodies for Diagnostic and Therapeutic Applications
Graphcore joins Softbank Group
Kaman Measuring highlights high precision displacement sensors
Si2 reveals recipients of Annual Power of Partnerships Award
Advantest achieves ASPICE Level 2 Certification for V93000 SmarTest 8 Software
Semiconductors to become a trillion-dollar industry by 2030
×
Search the news archive

To close this popup you can press escape or click the close icon.
Logo
×
  • 1st January 1970
  • 1st January 1970
  • 1st January 1970
  • 1st January 1970
  • 1st January 1970
  • 1st January 1970
  • 1st January 1970
  • 1st January 1970
  • View all news 22645 more articles
Logo
×
Register - Step 1

You may choose to subscribe to the Silicon Semiconductor Magazine, the Silicon Semiconductor Newsletter, or both. You may also request additional information if required, before submitting your application.


Please subscribe me to:

 

You chose the industry type of "Other"

Please enter the industry that you work in:
Please enter the industry that you work in: