+44 (0)24 7671 8970
More publications     •     Advertise with us     •     Contact us
 
Loading...
Technical Insight

eBeam Initiative: A voice for the photomask industry during rapid evolution

News

As the group approaches its 15th anniversary, the shift to curvilinear masks tops the agenda.

By Jan Willis, Co-Founder, eBeam Initiative

Founded in early 2009, the eBeam Initiative is an industry group that provides a forum for educational and promotional activities regarding new design-to-manufacturing approaches that help reduce photomask costs for semiconductor devices based on electron beam (eBeam) technologies. The eBeam Initiative quickly established itself as a powerful collaborative forum for the semiconductor manufacturing community, with member companies presenting papers starting in late 2009.

While the early focus of the eBeam Initiative was on eBeam direct write (EBDW) where designs are written directly to wafer using advanced eBeam technology, the group quickly shifted to focus on the mask industry and to the technologies that could improve mask quality and lower mask cost. Historically, the mask industry has been depended upon to provide the accuracy needed for advanced nodes (though it has tended to be underappreciated), and with the scaling challenges facing the semiconductor industry starting in the early 2000s, the mask industry was positioned to play an even larger role. Within a few years of its inception, the eBeam Initiative had become a voice for the mask community, helping to communicate its interests and achievements to the rest of the ecosystem.

To support the mission of education and promotion for the mask industry, the eBeam Initiative established multiple annual meetings, which take place each year during the SPIE Advanced Lithography Conference (usually held in February) and the SPIE Photomask Technology Conference (organized by BACUS, usually held in September).



Figure 1: Logos of current eBeam Initiative member companies and design advisory team


In the years since its founding with 20 members, the organization has expanded its membership to include more than 50 member companies across the semiconductor manufacturing and design ecosystem (see Figure 1).

Over the years of the eBeam Initiative’s existence, the mask industry has seen multiple major shifts in technologies as the industry worked to keep advanced semiconductor node roadmaps viable as 193-nm immersion (193i) lithography was pushed to its limits and implementation of extreme ultra-violet (EUV) lithography was delayed. The eBeam Initiative’s philosophy is to work closely with industry luminaries to curate technical and business insights for the mask community as it works with the rest of ecosystem to keep roadmaps in place. As the eBeam Initiative approaches its 15th anniversary in 2024, it is poised to help the mask industry make the next big shift coming for masks: curvilinear mask targets.

In the beginning: Extending 193i while waiting for EUV
As the semiconductor industry entered the 2010s, anxiety was high regarding extending 193i lithography through new advanced nodes while the industry was waiting for EUV lithography to reach maturity. The mask industry had a key role to play in providing solutions that would bridge this gap and produce masks that could meet the technical requirements of new advanced nodes and deliver them at a practical cost.

Mask customers were interested in making a balanced trade?off between the wafer quality achievable with complex optical proximity correction (OPC)/inverse lithography technology ILT)/source-mask optimization and the turnaround time of mask manufacturing. At 20-nm-and-below process nodes, both the main features of photomasks and the sub?resolution assist features (SRAFs) – which help preserve depth of focus (DOF) and critical dimension uniformity (CDU) for the main mask feature they support, but which do not print themselves – need to be increasingly complex in shape to ensure optimal patterning.

However, the number of eBeam shots required using variable-shaped beam (VSB) eBeam mask writers to create these complex features caused mask write-times – and mask costs – to increase significantly.

For several years, the eBeam Initiative focused its educational programming on the potential of using overlapping shots with VSB writers. One of the key solutions for this challenge was the addition of model-based mask data preparation (MB-MDP) to mask makers’ technology toolkits.

Conventional mask data preparation simply fractured target mask shapes into adjoining rectangles, each representing a single eBeam shot of the same dosage. MB-MDP models enabled the use of overlapping shots that resulted in accurate mask shapes with fewer eBeam shots, and therefore faster write times.


Figure 2: eBeam Initiative 2015 Luminaries Survey results on the use of EUV in HVM

In 2012, the question of whether EUV would ever be ready for high-volume manufacturing (HVM) was still on the minds of leaders in the mask community. That year, the eBeam Initiative embarked on its first industry survey of business and technology experts throughout the semiconductor ecosystem, which has become known as the Luminaries Survey and conducted annually. The idea was to capture the opinions of the luminaries to provide early insight into key market and technology trends. In the fourth survey1 conducted in 2015, the Luminaries’ opinions on EUV provided the insight that the turning point on EUV had been reached (see Figure 2) and signaled to the entire community the need to prepare for EUV masks.

A Milestone innovation: Readying the ecosystem for multi-beam mask writers
More than ten years ago, multiple companies were working on several technologies that split eBeams into multiple beams of constant size to effectively form a pixel array of eBeams to speed up mask write times and address the mask turnaround time issue. IMS Nanofabrication presented printing results of their multi-beam mask writing solution as early as the eBeam Initiative meeting at the 2012 SPIE Photomask Technology Conference2. NuFlare Technology followed with the introduction of their multi-beam mask writer at the eBeam Initiative’s meeting at the 2016 SPIE Advanced Lithography Conference3.

As development of EUV lithography progressed, it became clear that VSB mask writers would not support the resolution requirements of EUV, so multi-beam mask writer development became a strategic imperative for the entire industry. In the 2018 eBeam Initiative Survey4 (see Figure 3), the majority of luminaries surveyed were signaling that the resolution needs of EUV would make multi-beam mask writers a requirement for both EUV and for 193i lithography at very advanced nodes.


Figure 3: eBeam Initiative 2018 Luminaries Survey results regarding adoption of multi-beam mask writers

The eBeam Initiative becomes a forum for introduction of emerging trends
Over the years, eBeam Initiative member companies – and even some non-member companies – have used the forum provided by the eBeam Initiative to introduce emerging trends to the mask industry. Several times – as with multi-beam mask writer development – an eBeam Initiative meeting was the venue for the first introduction of an idea or technology.

One trend introduced through an eBeam Initiative event was the resurgence of ILT in part due to multi-beam mask writers’ capability to deliver constant write time, independent of mask complexity and density. Tom Cecil, now a principal engineer at Synopsys, described this at the 2017 eBeam Initiative meeting at SPIE Advanced Lithography. His presentation5 provided some of the very first insights on how ILT could improve EUV process variability (PV) bands as shown in Figure 4.

Another example of eBeam Initiative meetings being a forum for discussion of emerging technology was provided by David Lam, Chairman of Multibeam Corporation, at the annual meeting at SPIE Advanced Lithography Conference in 2023. Dr. Lam’s presentation6 focused on new applications for eBeam direct-write technology, including internet of things (IoT) security applications. IoT security is a topic of concern for the entire semiconductor ecosystem, and it was interesting to see how eBeam technology could play a role in addressing this challenge.


Figure 4: 2017 presentation to the eBeam Initiative on the resurgence of ILT. Source: Synopsys

A Wake-Up Call: Mask Equipment for Mature Nodes
At the 2018 eBeam Initiative meeting at the SPIE Photomask Technology Conference, Franklin Kalk of Toppan Photomasks presented a talk7 in which he painted a picture of mask equipment shortfall by 2020, especially for mask writers at 90-nm nodes and above (see Figure 5). This became known as the “Franklin Kalk Effect.”

“One of the things that we didn’t contemplate in the past was the resurgence of legacy products,” Franklin Kalk was quoted as saying8 at the time he was executive vice president of technology at Toppan Photomasks. “That’s anything 90nm and above. The problem is that the industry is really structured to respond and chase the high end. We are not really prepared to cope with this mature resurgence.”

While the mask industry was focused on the simultaneous introduction of multi-beam mask writers and EUV masks, the need for cost-effective new laser mask writers was becoming apparent. By the end of 2019, Mycronic answered the need by re-entering the semiconductor mask market with the introduction of their new SLX laser mask writers. They discussed their path back to semiconductors and their investment in deep learning in a talk at the eBeam Initiative meeting at the 2020 SPIE Advanced Lithography Conference9. As of the end of April 2023, Mycronic had announced orders for 40 SLX machines, validating the “Franklin Kalk Effect” was real.


Figure 5: Mask manufacturing tool prognosis for 2020. Source: Toppan Photomasks

The next frontier: Curvilinear mask targets
Looking forward, the course of rapid change for the mask industry seems to be running true. With EUV lithography coming into high-volume-production use and the introduction of the 3-nm process node – and the continuing development of the 2-nm and 1-nm nodes – curvilinear mask shapes are a topic of increasing focus for the mask industry. But curvilinear masks are not just for EUV.

As the non-EUV leading-edge looks for ways to extend 193i to more advanced nodes, curvilinear masks may be part of the solution. eBeam Initiative Luminary Surveys10 for the past few years have pointed to the increased use of curvilinear mask features as a top trend for both EUV and 193i. In fact, the graphic from Figure 6 has been used repeatedly at conferences in the past three years to project the trend.

Nothing in nature has 90-degree angles, and manufactured shapes on the mask have always been curvilinear because of the corner-rounding physics of light. Targeted curvilinear mask features have been shown not only to print more accurately, but also to print more reliably, which is good for both mask and wafer quality.

However, until the advent of multi-beam mask writers, only VSB mask writers were available, so mask shapes were made rectilinear to be compatible with these mask writers. With multi-beam mask writers now widely deployed, there is an opportunity to take advantage of their ability to print any mask shape within the same write time and target more pervasive curvilinear mask shapes.

In addition to Tom Cecil’s 2017 presentation, several presentations and panels at eBeam Initiative meetings have focused on ILT and curvilinear masks. At the 2020 eBeam Initiative meeting during SPIE Advanced Lithography, Ezequiel Russell, Senior Director of Mask Technology at Micron Technology, provided evidence on the process window benefits11 of ILT for advanced memory design as shown in Figure 7.

In a panel discussion during the virtual eBeam Initiative meeting at the 2021 SPIE Advanced Lithography Conference, Ezequiel Russell of Micron Technology, Noriaki Nakayamada of NuFlare Technology, and Danping Peng of TSMC, discussed curvilinear masks12 and industry readiness to produce them.


Figure 6: eBeam Initiative 2020 Luminaries Survey results about the use of curvilinear mask shapes

Given their potential for improving wafer quality, it was great to hear that curvilinear masks may not be just for EUV leading-edge masks or masks written by multi-beam mask writers after all. In 2020, Leo Pang from D2S introduced eBeam Initiative members to a technique called mask-wafer co-optimization (MWCO) for 193i and described it in his 2021 SPIE Journal review paper13 on the 30-year history of ILT. MWCO marries curvilinear ILT with MB-MDP for VSB writers, using overlapping shots. MWCO incorporates overlapping shot generation and mask-wafer double simulation into the ILT process, so the output of the OPC shop is already optimized for shot count (see Figure 8).

In 2019, at the eBeam Initiative meeting at the SPIE Advanced Lithography Conference, Yu Cao, now President and Country Manager of ASML US and CEO of HMI, an ASML company, , presented on the use of machine learning14 in computational lithography (ILT is a form of computational lithography). Deep learning and GPU acceleration play a key role in curvilinear mask making and are currently garnering a lot of attention with NVIDIA’s support15. A new curvilinear format being developed under the auspices of the SEMI standardization process to support an optimized mask data infrastructure is a high priority for mask makers as expressed in the 2022 Luminaries Survey16.

There’s an opportunity on the chip design side to take advantage of what the mask industry has enabled for the first time in 40 years: a wholesale change in what future chips could look like by manufacturing curvilinear features. Curvilinear designs would run faster, yield better, use less power, decrease chip size and have better performance yield, too.

However, most of the chip design community is not yet aware that curvilinear manufacturing is now possible. Therefore, the eBeam Initiative is also working with the design community to encourage research in this area. The eBeam Initiative looks forward to continuing its mission of curating education and technical communication as the semiconductor industry moves toward this newest change.


Figure 7: Curvilinear mask designs for advanced memory. Source: Micron

Today’s eBeam Initiative

Today, the eBeam Initiative includes more than 50 member companies spanning the semiconductor ecosystem, from small research operations to large, multi-national companies. A Design Advisory Team provides business and technical insights to myself and my co-founder, Aki Fujimura, CEO of D2S. D2S is the managing company sponsor of the eBeam Initiative. Currently, the Design Advisory Team includes John Chen of NVIDIA, Hugh Durdan formerly of Marvell, Jean-Pierre Geronimi of ST Microelectronics, Colin Harris formerly of PMC-Sierra, and Riko Radojcic, formerly of Qualcomm.

At the group’s meetings, the eBeam Initiative curates technical presentations by various member companies and panel discussions of critical technical challenges, drawing ever-growing audiences of attendees at the prestigious SPIE Advanced Lithography and Photomask Technology conferences. During the COVID-19 pandemic, as conferences went virtual, the eBeam Initiative meetings went virtual as well – and drew significant participation with robust technical panels.

The group’s virtual event in 2022 also provided a way to celebrate Dr. Harry Levinson17, principal lithographer at eBeam Initiative member HJL Lithography, for being recognized with that year’s SPIE Frits Zernike Award for Microlithography.

At the first live eBeam Initiative meeting since the pandemic, at the 2022 SPIE Photomask Technology Conference, the group was able to honor18 Naoya Hayashi for his many contributions to the mask industry and to the eBeam Initiative as he retired from 40 years at Dai Nippon Printing as a Fellow. The goodwill and camaraderie shown to these luminaries by their peers was a demonstration of what a group like the eBeam Initiative can provide for an industry: a gathering place, not only to share knowledge and technical concerns, but also to celebrate achievements and mark milestones as a community.

The group’s website (www.ebeam.org) has become a treasure-trove of technical information, with archived presentations, videos of past eBeam Initiative events, and dozens of short videos on technical subjects and interviews with industry luminaries. The news section contains links to numerous articles and blogs commissioned by the eBeam Initiative and published in industry journals.

“I think the most important thing about the eBeam Initiative is that that while it is a marketing group and has promotion as a goal, it has always been solidly grounded in curating technical knowledge,” said Aki Fujimura. “It has been, and will always seek to be, first and foremost a strong technical voice for the mask industry.”


Figure 8: Curvilinear Masks for 193i using VSB writers and MWCO. Source: D2S


[1 ] eBeam Initiative Luminaries Survey 2015, https:// www.ebeam.org/docs/ebeam_survey_results_2015.pdf

[2] Elmar Platzgummer, IMS Nanofabrication, “Printing results from a multi-beam mask exposure tool,” September 11, 2012, https://www.ebeam.org/docs/eBeam.mtg.BACUS2012.web.IMS.pdf

[3] Hiroshi Matsumoto, NuFlare, “Introduction and Recent Results of Multi-beam Mask Writer MBM-1000,” February 23, 2016, https://www.ebeam.org/docs/ebeam_initiative_spie_2016_nuflare.pdf

[4] eBeam Initiative Luminaries Survey 2018, https://www.ebeam.org/docs/eBeam-Perception-Survey-2018.pdf

[5] Thomas Cecil, Synopsys, Inc., “The Resurgence ofILT,” February 28, 2017, https://www.ebeam.org/docs/spie-2017-resurgence-of-ilt.pdf

[6 ]David Lam, Multibeam Corporation, “Multicolumn eBeam Lithography (MEBL),” February 28, 2023, https:// www.youtube.com/watch?v=d2JH6JxLa5w

[7] Franklin Kalk, “Renew, Retire, Replace: How the Mask Equipment Industry Can Transform its Products and Become Healthy Again,” September 18, 2018, https:// www.ebeam.org/docs/Kalk-eBeam-Initiative-stand-up2.pdf

[8] Semiconductor Engineering, “Wanted: Mask Equipment for Mature Nodes,” by Mark Lapedus, October 18, 2018. https://semiengineering.com/wanted- mask-tools-for-mature-nodes/

[9] Thomas Kurian, Mycronic, “The Mycronic path back to Semiconductors and into Deep Learning,” February 25, 2020, https://www.youtube.com/watch?v=9Xwa0Hpsui0

[10] eBeam Initiative Luminaries Survey 2020, https://www.ebeam.org/docs/eBeam-Luminaries-Survey-2020.pdf

[11] Ezequiel Russell, Micron Technology, “ILT and Curvilinear Mask Designs for Advanced Memory Nodes,” February 25, 2020, https://www.ebeam.org/docs/ilt-curvilinear-mask-designs-for-advanced-memory.pdf

[12] Panel discussion at eBeam Initiative annual meeting at the SPIE Advanced Lithography Conference 2021 (virtual due to COVID pandemic), February 23, 2021, https://www.youtube.com/watch?v=k0-CIljMEig

[13] “Inverse lithography technology: 30 years from concept to practical, full-chip reality,” Linyong (Leo) Pang, SPIE 21008V, (August 31, 2021); https://doi.org/10.1117/1. JMM.20.3.030901

[14] Yu Cao, ASML, “Machine Learning in Computational Lithography,” February 26, 2019, https://www.youtube. com/watch?v=kfXBUtKHTnc

[15] NVIDIA Blog: “Chip Manufacturing ‘Ideal Application’ for AI, NVIDIA CEO Says,” by Brian Caulfield, May 16, 2023

[16] eBeam Initiative Luminaries Survey 2022, https:// www.ebeam.org/docs/2022-ebeam-luminaries-survey-final-1.pdf

[17] Harry Levinson, HJL Lithography, “2022 Fritz Zernike Award Winner,” April 18, 2022, https://www.youtube.com/ watch?v=XtuqoJVB1XY

[18] Shot Talk: Interview with Naoya Hayashi, Dai Nippon Printing, June 27, 2022, https://www.youtube. com/watch?v=sYZicB37XNE

Tektronix and EA Elektro-Automatik offer expanded power portfolio
83% of supply chains can’t respond to disruptions in 24 hours
CMC Microsystems and ventureLAB sign MoU
Renesas introduces FemtoClock 3 timing solution
Mycronic receives order for SLX mask writer
Rapidus reveals US subsidiary and opens Silicon Valley office
Infineon introduces news MOTIX motor gate driver IC
Brewer Science unveils Smart Warehouse Monitor System
Symposium to showcase breakthroughs in microelectronics
CHIPS for America promotes over $50 million funding opportunity
SEMI University launches in-person courses
Samsung Electronics to establish Texan semiconductor ecosystem
Semiconductor chips drive innovation in AI and industries
Semiconductor equipment sales slip to $106.3 billion
Mouser Electronics receives 2023 Global Best Service Distributor of the Year Award from Diodes Incorporated
Quantum processor testing and measurement facilities up and running
Semiconductor Research Corporation announces 2024 call for research
Trend report unveils the future of circular electronics
PCIM Europe 2024: highlights and new records
PI contributes to technology node development
QP Technologies achieves ANSI/ESD S20.20 Certification
Renesas commences operations of Kofu Factory
TRI wins three Innovation Awards
Tektronix and recently acquired EA Elektro-Automatik offer expanded power portfolio
NEDO approves Rapidus’ FY2024 Plan and Budget
SK hynix signs Advanced Chip Packaging agreement
Renesas expands Quick Connect Studio
Infineon and Amkor deepen partnership
AP&S establishes site in the USA
Dracula Technologies selected by STMicroelectronics
RAIN RFID data to transform corporate sustainability initiatives
Integrated AMR replaces reed switches and hall effect sensors
×
Search the news archive

To close this popup you can press escape or click the close icon.
Logo
×
  • 1st January 1970
  • 1st January 1970
  • 1st January 1970
  • 1st January 1970
  • 1st January 1970
  • 1st January 1970
  • 1st January 1970
  • 1st January 1970
  • View all news 22645 more articles
Logo
×
Register - Step 1

You may choose to subscribe to the Silicon Semiconductor Magazine, the Silicon Semiconductor Newsletter, or both. You may also request additional information if required, before submitting your application.


Please subscribe me to:

 

You chose the industry type of "Other"

Please enter the industry that you work in:
Please enter the industry that you work in: