+44 (0)24 7671 8970
More publications     •     Advertise with us     •     Contact us
 
Loading...
News Article

Imec and ASML sign MoU

News

ASML is making a substantial commitment in imec's future state-of-the-art pilot line.

Imec and ASML Holding intend to intensify their collaboration in the next phase of developing a state-of-the-art high-numerical aperture (High-NA) extreme ultraviolet (EUV) lithography pilot line at imec.


The pilot line is intended to help the industries using semiconductor technologies to understand the opportunities that advanced semiconductor technology can bring and have access to a prototyping platform that will support their innovations. The collaboration between imec, ASML and other partners will enable the exploration of novel semiconductor applications, the potential development of sustainable, leading-edge manufacturing solutions for chip makers and end users, as well as the development of advanced holistic patterning flows in collaboration with the equipment and material ecosystem.


The Memorandum of Understanding signed recently includes the installment and service of ASML’s full suite of advanced lithography and metrology equipment in the imec pilot line in Leuven, Belgium, such as the latest model 0.55 NA EUV (TWINSCAN EXE:5200), latest models 0.33 NA EUV (TWINSCAN NXE:3800), DUV immersion (TWINSCAN NXT:2100i), Yieldstar optical metrology and HMI multi-beam. The intended engagement represents a very significant value in the advanced pilot line.


This groundbreaking new High-NA technology is crucial for developing high-performance energy-efficient chips, such as next-generation AI systems. It also enables innovative deep-tech solutions that could be used to tackle some of the major challenges our society is facing in for instance healthcare, nutrition, mobility/automotive, climate change and sustainable energy. Significant investments are needed to secure industry-broad access to High-NA EUV lithography beyond 2025 and retain the related advanced node process R&D capabilities in Europe.


This Memorandum of Understanding kickstarts the next phase of intensive collaboration between ASML and imec on High-NA EUV. The first phase of process research is being executed in the joint imec-ASML High-NA lab using the first High-NA EUV scanner (TWINSCAN EXE:5000). Imec and ASML collaborate with all leading-edge chipmakers and materials and equipment ecosystem partners, with the goal to prepare the technology for the fastest possible adoption in mass manufacturing. In the next phase, these activities will be ramped up in the imec pilot line in Leuven (Belgium) on the next-generation High-NA EUV scanner (TWINSCAN EXE:5200).


The intensified collaboration plans on lithography and metrology technology between the two semiconductor players are in line with the ambitions and plans of the European Commission and its member states (Chips Act, IPCEI) in order to strengthen innovation to tackle societal challenges. Part of the collaboration between imec and ASML is therefore captured in an IPCEI proposal which is currently in review by the Dutch government.


“ASML is making a substantial commitment in imec's state-of-the-art pilot fab to support semiconductor research and sustainable innovation in Europe. As artificial intelligence (AI) rapidly expands into domains such as natural language processing, computer vision and autonomous systems, the complexity of tasks escalates. Therefore, it is crucial to develop chip technology that can meet these computational demands without depleting the planet's precious (energy) resources,” said Peter Wennink, President and Chief Executive Officer of ASML.


“This commitment from ASML, which builds on over 30 years of successful collaboration, sends a powerful signal of our unwavering dedication to drive the advancement of sub-nanometer chip technology,” commented Luc Van den hove, President and Chief Executive Officer of imec. “This collaboration serves as a testament to the strength that lies in unity within the chip industry. While these projects enable us to fortify our regional strengths initially, they also pave the way for future global cooperation, allowing partners worldwide to benefit from local breakthroughs. It is through these collective efforts that we can truly accelerate innovation and propel the semiconductor industry to new heights.”

Tektronix and EA Elektro-Automatik offer expanded power portfolio
83% of supply chains can’t respond to disruptions in 24 hours
CMC Microsystems and ventureLAB sign MoU
Renesas introduces FemtoClock 3 timing solution
Mycronic receives order for SLX mask writer
Rapidus reveals US subsidiary and opens Silicon Valley office
Infineon introduces news MOTIX motor gate driver IC
Brewer Science unveils Smart Warehouse Monitor System
Symposium to showcase breakthroughs in microelectronics
CHIPS for America promotes over $50 million funding opportunity
SEMI University launches in-person courses
Samsung Electronics to establish Texan semiconductor ecosystem
Semiconductor chips drive innovation in AI and industries
Semiconductor equipment sales slip to $106.3 billion
Mouser Electronics receives 2023 Global Best Service Distributor of the Year Award from Diodes Incorporated
Quantum processor testing and measurement facilities up and running
Semiconductor Research Corporation announces 2024 call for research
Trend report unveils the future of circular electronics
PCIM Europe 2024: highlights and new records
PI contributes to technology node development
QP Technologies achieves ANSI/ESD S20.20 Certification
Renesas commences operations of Kofu Factory
TRI wins three Innovation Awards
Tektronix and recently acquired EA Elektro-Automatik offer expanded power portfolio
NEDO approves Rapidus’ FY2024 Plan and Budget
SK hynix signs Advanced Chip Packaging agreement
Renesas expands Quick Connect Studio
Infineon and Amkor deepen partnership
AP&S establishes site in the USA
Dracula Technologies selected by STMicroelectronics
RAIN RFID data to transform corporate sustainability initiatives
Integrated AMR replaces reed switches and hall effect sensors
×
Search the news archive

To close this popup you can press escape or click the close icon.
Logo
×
  • 1st January 1970
  • 1st January 1970
  • 1st January 1970
  • 1st January 1970
  • 1st January 1970
  • 1st January 1970
  • 1st January 1970
  • 1st January 1970
  • View all news 22645 more articles
Logo
×
Register - Step 1

You may choose to subscribe to the Silicon Semiconductor Magazine, the Silicon Semiconductor Newsletter, or both. You may also request additional information if required, before submitting your application.


Please subscribe me to:

 

You chose the industry type of "Other"

Please enter the industry that you work in:
Please enter the industry that you work in: