+44 (0)24 7671 8970
More publications     •     Advertise with us     •     Contact us
 
Loading...
News Article

EV Group at SEMICON Taiwan

News

Papers to highlight breakthrough capabilities of EVG’s hybrid bonding and metrology solutions for heterogeneous integration, and NIL solutions for meta-optics and AR waveguide manufacturing.

EV Group (EVG), a leading supplier of wafer bonding and lithography equipment for the MEMS, nanotechnology and semiconductor markets, has announced that new developments in 3D/heterogeneous integration and augmented reality (AR) waveguide manufacturing enabled by its advanced wafer-to-wafer (W2W) and die-to-wafer (D2W) hybrid bonding, metrology, and nanoimprint lithography (NIL) solutions will be highlighted at the SEMICON Taiwan 2023 expo in Taipei, Taiwan. At SEMICON Taiwan, EVG will present the following papers:


* “Exploring the Synergy of Nanoimprint Lithography, Meta Lenses, and AR/VR” (MEMS and Sensors Forum – Tue., September 5, 2:00pm at Room 505ab, 5th Floor, TaiNEX 1)


Thomas Uhrmann, director of business development at EVG, presents an overview of the versatility and recent achievements of NIL, with a focus on meta lenses and AR waveguide manufacturing, and how the capabilities of this technology are rapidly emerging. He will discuss how in order to achieve efficient scaling of these precise structures, it is necessary to match mastering techniques, proven replication processes, and dedicated optical materials.


* “Advances in Metrology for 3D and Heterogeneous Integration” (TechXPOT – Wed., September 6, 2:00pm at Booth L1100, 4th Floor, TaiNEX 1)


Jason Li, process engineer in the Technology Development & IP department at EVG Taiwan, will provide an overview of the current overlay metrology requirements for various applications, including D2W, W2W, and die shift in novel packaging methods, such as maskless lithography with adaptive patterning. Understanding and addressing these metrology needs are crucial for advancing 3D and heterogeneous integration technologies, and achieving efficient and reliable production yields.


* “Next Generation Logic and Memory Manufacturing by Wafer-to-Wafer Bonding: A Holistic View” (IC Forum – Fri., September 8, 2:00pm at Room 402, 4th Floor, TaiNEX 1)


Paul Lindner, executive technology director and member of the executive board at EVG, will provide an overview of current industry trends concerning next-generation memory and logic devices, focusing on how 3D integration enables new technological advancements. This will include discussion of the combination of fusion and hybrid bonding, as well as ultra-thin layer splitting techniques, which represent the new lithography scaling for advanced semiconductor devices.


* “Breaking Boundaries: Exploring Hybrid Chip-to-Wafer and Wafer-to-Wafer Bonding for 3D-IC Integration” (Heterogeneous Integration Global Summit – Fri., September 8, 2:40pm at Room 401, 4th Floor, TaiNEX 1)


Thomas Uhrmann will provide an overview of the current industry trends and technological advancements in W2W and D2W hybrid bonding, including key technology differentiators and integration scenarios. The next technological transformation will introduce a universal high-density interconnect technology, thus instigating a new integration process. The choice of integration flow will depend on factors such as interconnect density, chip size, system yield, and cost.



EVG’s wafer bonding, lithography and metrology solutions enable the development and high-volume manufacturing of technology innovations in advanced packaging—including backside illuminated CMOS image sensors and other 3D-IC stacked devices—as well as in MEMS and compound semiconductors. Recent breakthroughs in hybrid bonding to address the needs for 3D device integration, wafer bond alignment technology to address future 3D-IC packaging requirements, IR laser release technology to eliminate glass substrates for advanced packaging and enable thin-layer 3D stacking, maskless exposure for fan-out wafer level packaging (FOWLP), and NIL to support wafer-level optics (WLO) manufacturing, are just a few examples of EVG’s technology leadership in heterogeneous integration and wafer-level packaging.

EVG also engages with companies and research groups across the technology supply chain to develop high-volume manufacturing solutions that drive new innovations in semiconductors, sensors and photonics for a wide variety of automotive, medical, industrial and home entertainment applications.

Tektronix and EA Elektro-Automatik offer expanded power portfolio
83% of supply chains can’t respond to disruptions in 24 hours
CMC Microsystems and ventureLAB sign MoU
Renesas introduces FemtoClock 3 timing solution
Mycronic receives order for SLX mask writer
Rapidus reveals US subsidiary and opens Silicon Valley office
Infineon introduces news MOTIX motor gate driver IC
Brewer Science unveils Smart Warehouse Monitor System
Symposium to showcase breakthroughs in microelectronics
CHIPS for America promotes over $50 million funding opportunity
SEMI University launches in-person courses
Samsung Electronics to establish Texan semiconductor ecosystem
Semiconductor chips drive innovation in AI and industries
Semiconductor equipment sales slip to $106.3 billion
Mouser Electronics receives 2023 Global Best Service Distributor of the Year Award from Diodes Incorporated
Quantum processor testing and measurement facilities up and running
Semiconductor Research Corporation announces 2024 call for research
Trend report unveils the future of circular electronics
PCIM Europe 2024: highlights and new records
PI contributes to technology node development
QP Technologies achieves ANSI/ESD S20.20 Certification
Renesas commences operations of Kofu Factory
TRI wins three Innovation Awards
Tektronix and recently acquired EA Elektro-Automatik offer expanded power portfolio
NEDO approves Rapidus’ FY2024 Plan and Budget
SK hynix signs Advanced Chip Packaging agreement
Renesas expands Quick Connect Studio
Infineon and Amkor deepen partnership
AP&S establishes site in the USA
Dracula Technologies selected by STMicroelectronics
RAIN RFID data to transform corporate sustainability initiatives
Integrated AMR replaces reed switches and hall effect sensors
×
Search the news archive

To close this popup you can press escape or click the close icon.
Logo
×
  • 1st January 1970
  • 1st January 1970
  • 1st January 1970
  • 1st January 1970
  • 1st January 1970
  • 1st January 1970
  • 1st January 1970
  • 1st January 1970
  • View all news 22645 more articles
Logo
×
Register - Step 1

You may choose to subscribe to the Silicon Semiconductor Magazine, the Silicon Semiconductor Newsletter, or both. You may also request additional information if required, before submitting your application.


Please subscribe me to:

 

You chose the industry type of "Other"

Please enter the industry that you work in:
Please enter the industry that you work in: