+44 (0)24 7671 8970
More publications     •     Advertise with us     •     Contact us
 
Loading...
News Article

Luminaries like high-NA EUV and curvilinear photomasks

News

The eBeam Initiative has completed its 12th annual eBeam Initiative Luminaries survey.

Industry luminaries representing 47 companies from across the semiconductor ecosystem—including photomasks, electronic design automation (EDA), chip design, equipment, materials, manufacturing and research—participated in this year’s survey.

80 percent of survey respondents believe that broad adoption of high-NA EUV lithography in high-volume manufacturing (HVM) by more than one company will occur by 2028, the same percentage as reported in last year’s survey. In addition, confidence that leading-edge mask shops can handle curvilinear mask demand doubled compared to last year’s survey, while 87 percent predict that leading-edge mask shops can handle at least a limited number of curvilinear masks.

New questions were added to the Luminaries survey this year to gauge perceptions on EUV and non-EUV leading-edge masks and patterning. 70 percent say that curvilinear inverse lithography technology (ILT) is useful for non-EUV leading-edge nodes, while 75 percent agree that it’s needed for 2-nm, 0.33 NA EUV. The percentage of EUV masks inspected by actinic inspection is predicted to double in three years, from a weighted average of 30 percent in 2023 to 63 percent in 2026. In addition, 95 percent agree that multi-beam mask writers are needed to write EUV masks.

The complete results of the Luminaries survey will be discussed by an expert panel this evening during an eBeam Initiative event held in conjunction with the SPIE Photomask Technology + EUV Lithography Conference in Monterey, Calif., and will be available for download following the event at www.ebeam.org.

Additional Highlights from the Luminaries Survey (conducted in July 2023)

• 83 percent predict that 2023 mask revenues will either increase or remain the same, despite SEMI forecasting a 3 percent contraction in the mask market

• 82 percent predict that high-NA EUV will first be used in HVM by 2027

• 71 percent believe the minimum mask dimension for high-NA EUV will be 20 nm or below

• A majority of respondents predict that 193i-only equipment purchases will increase over the next three years for multi-beam mask writers (77 percent) and mask inspection (63 percent)

• 83 percent consider “non-EUV leading-edge” (the node at which 193i lithography reaches a practical limit of economic viability) to be in the range of >5 nm to 14 nm

“Participants in the annual eBeam Initiative Luminaries survey are a unique group of semiconductor insiders with keen insight into the market and technology trends that are shaping the industry. For the past few years, the survey has pointed to increased use of curvilinear ILT as a top trend for both EUV and 193i. The results of this year’s survey show continued confidence in curvilinear masks, including for non-EUV leading-edge nodes, and a trend towards more critical layers using ILT,” stated Aki Fujimura, CEO of D2S, the managing company sponsor of the eBeam Initiative. “Curvy masks are now possible thanks to multi-beam mask writing and GPU acceleration. Demonstrating benefits such as up to 100 percent increase in process window, curvy masks have shown that they can be part of the solution to extending current lithography technologies to more advanced nodes.”

Tektronix and EA Elektro-Automatik offer expanded power portfolio
83% of supply chains can’t respond to disruptions in 24 hours
CMC Microsystems and ventureLAB sign MoU
Renesas introduces FemtoClock 3 timing solution
Mycronic receives order for SLX mask writer
Rapidus reveals US subsidiary and opens Silicon Valley office
Infineon introduces news MOTIX motor gate driver IC
Brewer Science unveils Smart Warehouse Monitor System
Symposium to showcase breakthroughs in microelectronics
CHIPS for America promotes over $50 million funding opportunity
SEMI University launches in-person courses
Samsung Electronics to establish Texan semiconductor ecosystem
Semiconductor chips drive innovation in AI and industries
Semiconductor equipment sales slip to $106.3 billion
Mouser Electronics receives 2023 Global Best Service Distributor of the Year Award from Diodes Incorporated
Quantum processor testing and measurement facilities up and running
Semiconductor Research Corporation announces 2024 call for research
Trend report unveils the future of circular electronics
PCIM Europe 2024: highlights and new records
PI contributes to technology node development
QP Technologies achieves ANSI/ESD S20.20 Certification
Renesas commences operations of Kofu Factory
TRI wins three Innovation Awards
Tektronix and recently acquired EA Elektro-Automatik offer expanded power portfolio
NEDO approves Rapidus’ FY2024 Plan and Budget
SK hynix signs Advanced Chip Packaging agreement
Renesas expands Quick Connect Studio
Infineon and Amkor deepen partnership
AP&S establishes site in the USA
Dracula Technologies selected by STMicroelectronics
RAIN RFID data to transform corporate sustainability initiatives
Integrated AMR replaces reed switches and hall effect sensors
×
Search the news archive

To close this popup you can press escape or click the close icon.
Logo
×
  • 1st January 1970
  • 1st January 1970
  • 1st January 1970
  • 1st January 1970
  • 1st January 1970
  • 1st January 1970
  • 1st January 1970
  • 1st January 1970
  • View all news 22645 more articles
Logo
×
Register - Step 1

You may choose to subscribe to the Silicon Semiconductor Magazine, the Silicon Semiconductor Newsletter, or both. You may also request additional information if required, before submitting your application.


Please subscribe me to:

 

You chose the industry type of "Other"

Please enter the industry that you work in:
Please enter the industry that you work in: