+44 (0)24 7671 8970
More publications     •     Advertise with us     •     Contact us
 
Loading...
News Article

Luminaries like high-NA EUV and curvilinear photomasks

News

The eBeam Initiative has completed its 12th annual eBeam Initiative Luminaries survey.

Industry luminaries representing 47 companies from across the semiconductor ecosystem—including photomasks, electronic design automation (EDA), chip design, equipment, materials, manufacturing and research—participated in this year’s survey.

80 percent of survey respondents believe that broad adoption of high-NA EUV lithography in high-volume manufacturing (HVM) by more than one company will occur by 2028, the same percentage as reported in last year’s survey. In addition, confidence that leading-edge mask shops can handle curvilinear mask demand doubled compared to last year’s survey, while 87 percent predict that leading-edge mask shops can handle at least a limited number of curvilinear masks.

New questions were added to the Luminaries survey this year to gauge perceptions on EUV and non-EUV leading-edge masks and patterning. 70 percent say that curvilinear inverse lithography technology (ILT) is useful for non-EUV leading-edge nodes, while 75 percent agree that it’s needed for 2-nm, 0.33 NA EUV. The percentage of EUV masks inspected by actinic inspection is predicted to double in three years, from a weighted average of 30 percent in 2023 to 63 percent in 2026. In addition, 95 percent agree that multi-beam mask writers are needed to write EUV masks.

The complete results of the Luminaries survey will be discussed by an expert panel this evening during an eBeam Initiative event held in conjunction with the SPIE Photomask Technology + EUV Lithography Conference in Monterey, Calif., and will be available for download following the event at www.ebeam.org.

Additional Highlights from the Luminaries Survey (conducted in July 2023)

• 83 percent predict that 2023 mask revenues will either increase or remain the same, despite SEMI forecasting a 3 percent contraction in the mask market

• 82 percent predict that high-NA EUV will first be used in HVM by 2027

• 71 percent believe the minimum mask dimension for high-NA EUV will be 20 nm or below

• A majority of respondents predict that 193i-only equipment purchases will increase over the next three years for multi-beam mask writers (77 percent) and mask inspection (63 percent)

• 83 percent consider “non-EUV leading-edge” (the node at which 193i lithography reaches a practical limit of economic viability) to be in the range of >5 nm to 14 nm

“Participants in the annual eBeam Initiative Luminaries survey are a unique group of semiconductor insiders with keen insight into the market and technology trends that are shaping the industry. For the past few years, the survey has pointed to increased use of curvilinear ILT as a top trend for both EUV and 193i. The results of this year’s survey show continued confidence in curvilinear masks, including for non-EUV leading-edge nodes, and a trend towards more critical layers using ILT,” stated Aki Fujimura, CEO of D2S, the managing company sponsor of the eBeam Initiative. “Curvy masks are now possible thanks to multi-beam mask writing and GPU acceleration. Demonstrating benefits such as up to 100 percent increase in process window, curvy masks have shown that they can be part of the solution to extending current lithography technologies to more advanced nodes.”

Reality AI Explorer Tier offers free AI/ML development access
AEM introduces new generation of Automated Burn-In Systems
NPUs are emerging as the main rival to Nvidia’s AI dominance, says DAI Magister
Camtek receives a $20M order from a Tier-1 OSAT
Free samples of every STMicroelectronics NPI IC available from Anglia
NY CREATES and SEMI sign MoU
Major government investment to 'propel' Canada
QuickLogic announces $5.26 million contract award
ASNA and Athinia collaborate
Global sales forecast to reach record $109 billion in 2024
AMD to acquire Silo AI
Nanotronics unveils 'groundbreaking' Gen V AI Model
Aitomatic unveils SemiKong
Biden-Harris Administration reveals first CHIPS for America R&D facilities and selection processes
Collaboration to produce cutting-edge AI accelerator chips
Oxford Ionics breaks global quantum performance records
Adeia wins ECTC Award for paper on “Fine Pitch Die-to-Wafer Hybrid Bonding”
AEM introduces new generation of Automated Burn-In Systems
Sydney council forges vital semiconductor agreement
EV Group's EVG880 LayerRelease wins 2024 Best of West
Biden-Harris Administration to invest up to $1.6 billion
Cyient sets up subsidiary for semiconductor business
EMD Electronics network leaders honoured
Accenture acquires Cientra
Introducing Park FX200
Deep learning chipset market to surge to $72.8 billion by 2033
PI Innovation Award 2024: Nanobodies for Diagnostic and Therapeutic Applications
Graphcore joins Softbank Group
Kaman Measuring highlights high precision displacement sensors
Si2 reveals recipients of Annual Power of Partnerships Award
Advantest achieves ASPICE Level 2 Certification for V93000 SmarTest 8 Software
Semiconductors to become a trillion-dollar industry by 2030
×
Search the news archive

To close this popup you can press escape or click the close icon.
Logo
×
  • 1st January 1970
  • 1st January 1970
  • 1st January 1970
  • 1st January 1970
  • 1st January 1970
  • 1st January 1970
  • 1st January 1970
  • 1st January 1970
  • View all news 22645 more articles
Logo
×
Register - Step 1

You may choose to subscribe to the Silicon Semiconductor Magazine, the Silicon Semiconductor Newsletter, or both. You may also request additional information if required, before submitting your application.


Please subscribe me to:

 

You chose the industry type of "Other"

Please enter the industry that you work in:
Please enter the industry that you work in: