+44 (0)24 7671 8970
More publications     •     Advertise with us     •     Contact us
 
Loading...
News Article

Fractilia has introduced FAME OPC for improved OPC modeling

News

Fractilia, provider of stochastics metrology and control solutions for advanced semiconductor manufacturing, has introduced FAME OPC, a new product that provides critical optical proximity correction (OPC) measurement and analysis capabilities for improved OPC modeling – an essential technique used in advanced patterning. FAME OPC works with any SEM tool from any SEM vendor, can be inserted into any OPC data flow, and is available as a stand-alone product or as an add-on to customers’ existing Fractilia installed frameworks.

Fractilia’s FAME and MetroLER products, which combine the company’s patented Fractilia Inverse Linescan Model (FILM) technology with true computational metrology, are the only proven fab solutions that provide highly accurate and precise measurements of all major stochastic effects – the single largest source of patterning errors at advanced nodes. Fractilia is currently engaged with leading chip manufacturers in measuring and analyzing their OPC data with its new FAME OPC product.

CD Measurements No Longer Enough for OPC Model Verification
OPC is a patterning enhancement technique in semiconductor manufacturing that makes use of tiny edge deviations and sub-resolution assist features on the photomask to improve the printability of the desired chip pattern on the wafer. Each OPC model is calibrated using up to tens of thousands of features, called gauges, which if not measured with accuracy and precision can negatively impact process windows and yields. To calibrate the OPC models, chip manufacturers print wafers from a test mask and then measure the difference between how the gauges have printed compared to their design. In the past, customers would measure only the critical dimension (CD) and then incorporate these measurements into the OPC models for calibration of the models.

However, as chip feature sizes continue to shrink and as stochastic variability has increased with the adoption of EUV patterning, CD measurements are no longer enough for OPC model calibration and validation. Line-edge and linewidth roughness (LER/LWR), local edge-placement error (LEPE) and local CD uniformity (LCDU) as well as CD measurements must all be considered. The risk of stochastic variability is expected to only increase with the anticipated migration to high-NA EUV (0.55 NA EUV) lithography at the 2-nm node and beyond.

“For years, leading chip manufacturers have been using our MetroLER product to support their OPC model development and validation,” stated Edward Charrier, CEO and president of Fractilia. “While this has provided them with essential information to improve their OPC models, users have needed to manually perform the setup, measurement and analysis – a process that could take days to complete. Based on customer requests, Fractilia has developed FAME OPC, which fully automates the OPC measurement and analysis process. This enables our customers to measure CD and other distance measurements as well as hundreds of stochastic measurements from thousands of different gauges in as little as one hour, which significantly improves the accuracy and time-to-results of their OPC models.”

“Unbiased” Measurements Provide More Accurate Description of What’s on the Wafer
Fractilia’s FAME portfolio of solutions uses a proprietary and unique physics-based SEM modeling and data analysis approach that measures and subtracts the random and systematic errors from SEM images to provide measurements of what is on the wafer rather than what is on the images. FAME measures all major stochastic effects simultaneously, including LER, LWR, LCDU, LEPE, and stochastic defects, as well as provides CD and other distance measurements. It provides the highest signal-to-noise edge detection in the industry (up to 5X higher signal-to-noise ratio than other solutions), and extracts more than 30X more data from each SEM image. FAME works with SEM images from any SEM tool from and SEM vendor.

With FAME OPC, Fractilia brings the highly accurate measurement and analysis capabilities of FAME to OPC modeling. Users create a “master sheet” of all the measured gauges and then submit the job to FAME OPC along with all the SEM images and the design pattern such as a GDS/OASIS file. FAME OPC then automatically calibrates CD measurements to the SEM tool measurements, generates each Fractilia “recipe” file, measures the appropriate SEM images for each gauge and then combines the results for expedited analysis with the most accurate measurements available. By making this a fully automated process, FAME OPC significantly shortens engineering workload, and reduces time to results to determine the optimized OPC treatment by orders of magnitude.

Fractilia’s products have been adopted throughout the industry by dozens of companies, including leading semiconductor manufacturers, equipment companies, materials suppliers and research organizations. Improved lithography, etch and SEM tool matching, throughput, and overall equipment efficiency, as well as process window optimization, reduced cost of ownership and lower capital tool expenditures, are among the many benefits that can be achieved using Fractilia’s FAME and MetroLER products.

Fractilia has introduced FAME OPC for improved OPC modeling
Critical Manufacturing and RoviSys expand strategic alliance
Mouser Electronics and Analog Devices publish Collaborative eBooks
Infineon introduces Product Carbon Footprint data for customers
Alphawave Semi collaborates with Arm
VIS and NXP to establish fab JV
Infineon drives decarbonisation and digitalisation
The future of flexible technology?
CEA-Leti reports three-layer integration breakthrough
Nidec Advance Technology signs agreement with Synergie Cad Group
Flip-chip die bonder promises speed improvement
Raspberry Pi selects Hailo to enable advanced AI capabilities
Gartner forecasts worldwide AI chips revenue to grow 33% in 2024
Imec demonstrates die-to-wafer hybrid bonding with a Cu interconnect pad pitch of 2µm
Doubling throughput of layer transfer technology
Accelerating lab to fab
Advanced packaging in the spotlight
ASML and imec open joint High NA EUV Lithography Lab
TRI to drive AI innovation, working with NVIDIA
AlixLabs receives 2,5 MSEK grant for green semiconductor production
Applied Research Initiative advances Arizona’s semiconductor ecosystem
ERS electronic releases fully automatic Luminex machines with PhotoThermal debonding and wafer cleaning capability
Photonic Integrated Circuits benefit from AI data centre demand
SIA applauds CHIPS Act incentives for Polar Semiconductor
Mobix Labs completes RaGE Systems acquisition
ROHM and Nanjing SemiDrive Technology jointly develop reference design
PCIM Europe 2024: Extensive program provides new highlights
Emerson continues to invest in NI Test & Measurement products
Infineon reveals roadmap for energy-efficient power supply units in AI data centres
£3m grant for Glasgow chip research
QIA to anchor an investment commitment to Ardian Semiconductor
Polar Semiconductor to expand in Minnesota
×
Search the news archive

To close this popup you can press escape or click the close icon.
Logo
×
  • 1st January 1970
  • 1st January 1970
  • 1st January 1970
  • 1st January 1970
  • 1st January 1970
  • 1st January 1970
  • 1st January 1970
  • 1st January 1970
  • View all news 22645 more articles
Logo
×
Register - Step 1

You may choose to subscribe to the Silicon Semiconductor Magazine, the Silicon Semiconductor Newsletter, or both. You may also request additional information if required, before submitting your application.


Please subscribe me to:

 

You chose the industry type of "Other"

Please enter the industry that you work in:
Please enter the industry that you work in: