+44 (0)24 7671 8970
More publications     •     Advertise with us     •     Contact us
 
Loading...
News Article

Imec demonstrates functional monolithic CFET devices with stacked bottom and top contacts

News

At the 2024 IEEE Symposium on VLSI Technology & Circuits (2024 VLSI), imec presents for the first time electrically functional CMOS CFET devices with stacked bottom and top source/drain contacts.

While the results were obtained with both contacts patterned from the frontside, imec also shows the feasibility of moving bottom contact formation to the wafer backside – significantly improving top device survival rate from 11% to 79%.


Imec’s logic technology roadmap envisions the introduction of complementary FETs (CFETs) in A7 node device architectures. When complemented with advanced routing techniques, CFETs promise to reduce standard cell track heights from 5T to 4T and even below, without performance degradation. Among the different approaches to integrate n- and pMOS vertically stacked structures, monolithic integration is considered the least disruptive compared to existing nanosheet-type process flows.


At the 2024 VLSI Symposium, imec demonstrates for the first time functional monolithic CMOS CFET devices with stacked top and bottom contacts. The CFETs were integrated at 18nm gate length, 60nm gate pitch and 50nm vertical separation between n and p devices. Electrical functionality was demonstrated on a test vehicle with nFET and pFET devices using a common gate, and top and bottom contacts connected from the frontside.


The proposed process flow includes two CFET-specific modules: the middle-dielectric isolation (MDI), and the stacked bottom and top contacts.


MDI is a module pioneered by imec to isolate top and bottom gate and differentiate on the threshold voltage settings between n and p devices. The MDI module is based on modifying the CFET ‘active’ multi-layer Si/SiGe stack and allows the co-integration of the inner spacer – a nanosheet-specific feature that isolates the gate from the source/drain. Naoto Horiguchi, Director CMOS device technology at imec: “We obtained the best results in terms of process control with an MDI-first approach, i.e., before source/drain recess – the step where nanosheets and MDI are ‘cleaved’ to gain access to the channel sidewalls and start source/drain epi. An innovative source/drain recess etch with ‘in-situ capping’ enables MDI-first by protecting the gate hardmask/gate spacer during the source/drain recess.”


A second critical module is the formation of stacked source/drain bottom and top contacts, vertically separated by dielectric isolation. Key steps are the bottom contact metal fill and etch back, and subsequent dielectric fill and etch back – all within the same tight space as available for the MDI stack.


Naoto Horiguchi: “When developing the bottom contacts from the frontside, we encountered multiple challenges, affecting bottom contact resistance and limiting the process window for top device source/drain formation. At 2024 VLSI, we show that it is feasible to move the bottom contact formation to the wafer backside, despite additional process steps linked to wafer bonding and


thinning. The top device survival rate increased from 11% to 79%, making backside bottom contact formation an attractive option for industry. Research is currently ongoing to identify the optimal contact routing approach.”

Imec demonstrates functional monolithic CFET devices with stacked bottom and top contacts
Sono-Tek to demonstrate SPT200 Photoresist Coating
Microelectronics industry education and workforce challenges explored
PEMTRON to spotlight semiconductor inspection solutions
OMNIVISION introduces 'smallest camera module'
Socionext joins the Global Semiconductor Alliance
Imec unveils CMOS-based 56Gb/s zero-IF D-band beamforming transmitter
Flip chip technology market to reach $45.22 billion in 2032
EV Group and Fraunhofer IZM-ASSID expand partnership
Company founder Ayhan Busch celebrates her 90th birthday
Moxa 5G expert to discuss Private 5G Networks
Nordson Test & Inspection to showcase Advanced Semiconductor Technologies
Greene Tweed extends global reach
5G chipset market worth $92.billion in 2030
KYZEN to showcase Multi-Process Power Module Cleaner
Fractilia has introduced FAME OPC for improved OPC modeling
Critical Manufacturing and RoviSys expand strategic alliance
Mouser Electronics and Analog Devices publish Collaborative eBooks
Infineon introduces Product Carbon Footprint data for customers
Alphawave Semi collaborates with Arm
VIS and NXP to establish fab JV
Infineon drives decarbonisation and digitalisation
The future of flexible technology?
CEA-Leti reports three-layer integration breakthrough
Nidec Advance Technology signs agreement with Synergie Cad Group
Flip-chip die bonder promises speed improvement
Raspberry Pi selects Hailo to enable advanced AI capabilities
Gartner forecasts worldwide AI chips revenue to grow 33% in 2024
Imec demonstrates die-to-wafer hybrid bonding with a Cu interconnect pad pitch of 2µm
Doubling throughput of layer transfer technology
Accelerating lab to fab
Advanced packaging in the spotlight
×
Search the news archive

To close this popup you can press escape or click the close icon.
Logo
×
  • 1st January 1970
  • 1st January 1970
  • 1st January 1970
  • 1st January 1970
  • 1st January 1970
  • 1st January 1970
  • 1st January 1970
  • 1st January 1970
  • View all news 22645 more articles
Logo
×
Register - Step 1

You may choose to subscribe to the Silicon Semiconductor Magazine, the Silicon Semiconductor Newsletter, or both. You may also request additional information if required, before submitting your application.


Please subscribe me to:

 

You chose the industry type of "Other"

Please enter the industry that you work in:
Please enter the industry that you work in: