+44 (0)24 7671 8970
More publications     •     Advertise with us     •     Contact us
 
Loading...
News Article

Imec demonstrates functional monolithic CFET devices with stacked bottom and top contacts

News

At the 2024 IEEE Symposium on VLSI Technology & Circuits (2024 VLSI), imec presents for the first time electrically functional CMOS CFET devices with stacked bottom and top source/drain contacts.

While the results were obtained with both contacts patterned from the frontside, imec also shows the feasibility of moving bottom contact formation to the wafer backside – significantly improving top device survival rate from 11% to 79%.


Imec’s logic technology roadmap envisions the introduction of complementary FETs (CFETs) in A7 node device architectures. When complemented with advanced routing techniques, CFETs promise to reduce standard cell track heights from 5T to 4T and even below, without performance degradation. Among the different approaches to integrate n- and pMOS vertically stacked structures, monolithic integration is considered the least disruptive compared to existing nanosheet-type process flows.


At the 2024 VLSI Symposium, imec demonstrates for the first time functional monolithic CMOS CFET devices with stacked top and bottom contacts. The CFETs were integrated at 18nm gate length, 60nm gate pitch and 50nm vertical separation between n and p devices. Electrical functionality was demonstrated on a test vehicle with nFET and pFET devices using a common gate, and top and bottom contacts connected from the frontside.


The proposed process flow includes two CFET-specific modules: the middle-dielectric isolation (MDI), and the stacked bottom and top contacts.


MDI is a module pioneered by imec to isolate top and bottom gate and differentiate on the threshold voltage settings between n and p devices. The MDI module is based on modifying the CFET ‘active’ multi-layer Si/SiGe stack and allows the co-integration of the inner spacer – a nanosheet-specific feature that isolates the gate from the source/drain. Naoto Horiguchi, Director CMOS device technology at imec: “We obtained the best results in terms of process control with an MDI-first approach, i.e., before source/drain recess – the step where nanosheets and MDI are ‘cleaved’ to gain access to the channel sidewalls and start source/drain epi. An innovative source/drain recess etch with ‘in-situ capping’ enables MDI-first by protecting the gate hardmask/gate spacer during the source/drain recess.”


A second critical module is the formation of stacked source/drain bottom and top contacts, vertically separated by dielectric isolation. Key steps are the bottom contact metal fill and etch back, and subsequent dielectric fill and etch back – all within the same tight space as available for the MDI stack.


Naoto Horiguchi: “When developing the bottom contacts from the frontside, we encountered multiple challenges, affecting bottom contact resistance and limiting the process window for top device source/drain formation. At 2024 VLSI, we show that it is feasible to move the bottom contact formation to the wafer backside, despite additional process steps linked to wafer bonding and


thinning. The top device survival rate increased from 11% to 79%, making backside bottom contact formation an attractive option for industry. Research is currently ongoing to identify the optimal contact routing approach.”

Reality AI Explorer Tier offers free AI/ML development access
AEM introduces new generation of Automated Burn-In Systems
NPUs are emerging as the main rival to Nvidia’s AI dominance, says DAI Magister
Camtek receives a $20M order from a Tier-1 OSAT
Free samples of every STMicroelectronics NPI IC available from Anglia
NY CREATES and SEMI sign MoU
Major government investment to 'propel' Canada
QuickLogic announces $5.26 million contract award
ASNA and Athinia collaborate
Global sales forecast to reach record $109 billion in 2024
AMD to acquire Silo AI
Nanotronics unveils 'groundbreaking' Gen V AI Model
Aitomatic unveils SemiKong
Biden-Harris Administration reveals first CHIPS for America R&D facilities and selection processes
Collaboration to produce cutting-edge AI accelerator chips
Oxford Ionics breaks global quantum performance records
Adeia wins ECTC Award for paper on “Fine Pitch Die-to-Wafer Hybrid Bonding”
AEM introduces new generation of Automated Burn-In Systems
Sydney council forges vital semiconductor agreement
EV Group's EVG880 LayerRelease wins 2024 Best of West
Biden-Harris Administration to invest up to $1.6 billion
Cyient sets up subsidiary for semiconductor business
EMD Electronics network leaders honoured
Accenture acquires Cientra
Introducing Park FX200
Deep learning chipset market to surge to $72.8 billion by 2033
PI Innovation Award 2024: Nanobodies for Diagnostic and Therapeutic Applications
Graphcore joins Softbank Group
Kaman Measuring highlights high precision displacement sensors
Si2 reveals recipients of Annual Power of Partnerships Award
Advantest achieves ASPICE Level 2 Certification for V93000 SmarTest 8 Software
Semiconductors to become a trillion-dollar industry by 2030
×
Search the news archive

To close this popup you can press escape or click the close icon.
Logo
×
  • 1st January 1970
  • 1st January 1970
  • 1st January 1970
  • 1st January 1970
  • 1st January 1970
  • 1st January 1970
  • 1st January 1970
  • 1st January 1970
  • View all news 22645 more articles
Logo
×
Register - Step 1

You may choose to subscribe to the Silicon Semiconductor Magazine, the Silicon Semiconductor Newsletter, or both. You may also request additional information if required, before submitting your application.


Please subscribe me to:

 

You chose the industry type of "Other"

Please enter the industry that you work in:
Please enter the industry that you work in: