+44 (0)24 7671 8970
More publications     •     Advertise with us     •     Contact us
 
Loading...
News Article

Imec demonstrates logic and DRAM structures using High NA EUV Lithography

News

Results confirm readiness of the High NA EUV patterning ecosystem for enabling future logic and memory use cases.

Imec presents patterned structures obtained after exposure with the 0.55NA EUV scanner in the joint ASML-imec High NA EUV Lithography Lab in Veldhoven, the Netherlands. Random logic structures down to 9,5nm (19 nm pitch), random vias with 30nm center-to-center distance, 2D features at 22nm pitch, and a DRAM specific lay out at P32nm were printed after single exposure, using materials and baseline processes that were optimized for High NA EUV by imec and its partners in the framework of imec’s Advanced Patterning Program. With these results, imec, confirms the readiness of the ecosystem to enable single exposure high resolution High NA EUV Lithography.

Following the recent opening of the joint ASML-imec High NA EUV Lithography Lab in Veldhoven, the Netherlands, customers now have access to the (TWINSCAN EXE:5000) High NA EUV scanner to develop private High NA EUV use cases leveraging the customer’s own design rules and lay outs.

Imec has successfully patterned single exposure random logic structures with 9,5nm dense metal lines, corresponding to an 19nm pitch, achieving sub 20nm tip-to-tip dimensions. Random vias with a 30nm center-to-center distance showcased excellent pattern fidelity and critical dimension uniformity. Furthermore, 2D features at a P22nm pitch exhibited outstanding performance, highlighting the potential of High NA Lithography to enable 2D routing.

Beyond logic structures, imec successfully patterned, in a single exposure, designs that integrate the storage node landing pad with the bit line periphery for DRAM. This achievement underscores the potential of High NA technology to replace the need of several mask layers by 1 single exposure.

These breakthrough results follow intensive preparatory work by imec and ASML – in close collaboration with its partners – to ready the patterning ecosystem and metrology for the first generation of High NA EUV Lithography. Prior to the exposures, imec prepared dedicated wafer stacks (including advanced resists, underlayers and photomasks), and transferred High NA EUV baseline processes (such as optical proximity correction (OPC), integrated patterning and etch techniques) to the 0.55NA EUV scanner.

Steven Scheer, senior vice president of compute technologies & systems / compute system scaling at imec: “We are thrilled to demonstrate the world’s first High NA-enabled logic and memory patterning in the joint ASML-imec lab as an initial validation of industry applications. The results showcase the unique potential for High NA EUV to enable single-print imaging of aggressively-scaled 2D features, improving design flexibility as well as reducing patterning cost and complexity. Looking ahead, we expect to provide valuable insights to our patterning ecosystem partners, supporting them in further maturing High NA EUV specific materials and equipment.”

Luc Van den hove, president and CEO of imec: “The results confirm the long-predicted resolution capability of High NA EUV lithography, targeting sub 20nm pitch metal layers in one single exposure. High NA EUV will therefore be highly instrumental to continue the dimensional scaling of logic and memory technologies, one of the key pillars to push the roadmaps deep into the ‘angstrom era. These early demonstrations were only possible thanks to the set-up of the joint ASML-imec lab allowing our partners to accelerate the introduction of High NA lithography into manufacturing.”.

Exyte selects LTIMindtree
TRI to display new Wafer Inspection and Metrology solution
Advanced CMOS design sets 'new benchmark' in ultra-fast sensors
Industry to address child care needs
EU project ARCTIC joins quantum forces
Advantest celebrates 25th anniversary of V93000 SoC Test Platform
Advanced packaging in Europe: Swissbit hosts EuroPAT Workshop 2024
Introducing the N-332 - Vacuum Compatible Nanopositioning Stage family
ACM Research strengthens its fan-out panel level packaging portfolio
Imec demonstrates logic and DRAM structures using High NA EUV Lithography
Yongjiang Laboratory orders Reactive Ion Beam Trimming equipment from scia Systems
Paving the way for the semiconductor future
Government scheme helps UK chip start-ups raise £10 million
Renesas completes acquisition of Altium
Greene Tweed highlights Chemraz 541
Intel acts to 'accelerate progress'
Infineon reports slight increase in revenue and earnings in Q3 FY 2024
New partnership brings semiconductor manufacturing to Central Valley
Merck joins German research project "Semiconductor-X"
V-Nova and Amlogic form partnership
AMI joins NXP Semiconductors Partner Program
EFC Gases & Advanced Materials announces $210 million investment
Promex Industries and QP Technologies implement sales/marketing reorganisation
Amkor signs preliminary Memorandum of Terms with US Department of Commerce
Ansys 2024 R2 delivers multiphysics innovation
Infineon introduces Edge AI evaluation kit
Nearfield Instruments to supply QUADRA Metrology System to Asian fab
ACM Research enters Fan-out Panel Level Packaging market
Nanotronics unveils nSpec ES
Samsung Electro-Mechanics collaborates with AMD
3D-Micromac receives laser-trimming system order from Infineon
Agile Analog delivers customisable IP on GlobalFoundries’ FinFet and FDX processes
×
Search the news archive

To close this popup you can press escape or click the close icon.
Logo
×
  • 1st January 1970
  • 1st January 1970
  • 1st January 1970
  • 1st January 1970
  • 1st January 1970
  • 1st January 1970
  • 1st January 1970
  • 1st January 1970
  • View all news 22645 more articles
Logo
×
Register - Step 1

You may choose to subscribe to the Silicon Semiconductor Magazine, the Silicon Semiconductor Newsletter, or both. You may also request additional information if required, before submitting your application.


Please subscribe me to:

 

You chose the industry type of "Other"

Please enter the industry that you work in:
Please enter the industry that you work in: