Loading...
Technical Insight

Magazine Feature
This article was originally featured in the edition:
2024 Issue 6

Why the mask world is moving to curvilinear

News

If you’ve been to a lithography or photomask technology conference lately, you’ve likely noticed a trend: many papers and talks on curvilinear masks, curvilinear OPC, curvilinear ILT, curvilinear mask process correction (MPC), and curvilinear mask formats. The photomask industry is experiencing a fundamental shift from Manhattan masks to curvilinear masks.

Part 1.

By Leo Pang, D2S, Inc.

So, what is the motivation for this shift? After decades of Manhattan mask design, what are the benefits of moving to curvilinear? First, let’s look at some background on the technologies that set the stage for this shift to curvilinear masks.

ILT started the shift
Curvilinear masks started with inverse lithography technology (ILT). ILT treats mask optimization as an inverse problem, starting with the desired wafer target and calculating the mask that will produce the desired wafer target. Wafer scanners have band-limited optics which cannot produce 90-degree corners, so any 90-degree corners (especially the tip of the corner, which has infinite frequency) will be filtered by scanner optics, and so are not included in ILT solutions. For this reason, ILT mask patterns were naturally curvilinear.


Figure 1. Mask pattern created by this first ILT solution (left). “Manhattanized” version of the same pattern (center), Manhattanized ILT mask patterns without SRAFs. (right)[1]. Source: Luminescent/Synopsys.

At the 2006 SPIE Advanced Lithography Conference, Dan Abrams and I presented the first ILT paper from Luminescent, “Fast Inverse Lithography Technology.”[1] Luminescent and its partners published numerous papers that showed that ideal, fully curvilinear ILT mask patterns produced the largest process window[2-9].

Figure 2. Write times for VSB mask writers are proportionate to the number of shots required to create the mask shapes. Multi-beam mask writers are shape-agnostic, with a constant write time regardless of mask shapes[11]. Source: NuFlare.

Figure 1 shows the mask pattern created by this first ILT solution on the left. In the middle, is a “Manhattanized” version adapted to variable shaped beam (VSB) mask writers, which can only write rectilinear shapes. On the right of Figure 1 are the Manhattanized ILT mask patterns without sub-resolution assistant features (SRAFs).

Writing curvilinear mask shapes or their “Manhattanized” versions presented a very real roadblock, as the number of shots required to represent curvilinear shapes was prohibitively high. Since the VSB mask write time is proportional to the number of shots, it was not practical to write curvilinear masks with VSB mask writers.

Figure 3. The conventional full-chip approach partitions chips for computation on CPU/GPU pairs. Issues arise when the partitions are stitched back together[12]. Source: D2S.

Multi-beam mask writers, GPU computing change the game for curvilinear masks
The advent of multi-beam mask writers removed the mask write-time roadblock to adoption of curvilinear masks. Multi-beam mask writers have an array of hundreds of thousands beams, each of which can be turned on, off, or in fractional dose.

Since the write time is only proportional to area, it can write curvilinear masks without any penalty in write time, as shown in Figure 2.

The initial use of ILT in production was mainly in limited, “hotspot,” areas. The reason was another roadblock: the difficulties in computing full-chip ILT solutions. One difficulty is runtime, but runtime can be handled by using GPU-accelerated computing. Feeding chip partitions into a GPU-accelerated computing system can speed the processing of each partition. However, when the partitions are “stitched” back together, errors occurred along the partition boundaries. These stitching errors and the re-computation required to address them were still show-stopping issues (Figure 3).

Figure 4. A novel approach emulates a giant CPU/GPU pair that computes the entire chip at once, eliminating stitching issues[12]. Source: D2S.

To avoid the time-consuming recursive correction passes necessary to resolve these stitching errors, in 2019, D2S presented [12] a new hardware/software co-designed solution that had the ability to process the entire chip at once: a computational platform that behaved as a single, giant GPU/CPU pair that could optimize full-chip data seamlessly, without partitions (Figure 4).

Today, with these roadblocks removed, the photomask industry’s confidence in their ability to create curvilinear masks is very high. In 2023, a survey by the eBeam Initiative, an industry group that surveys industry leaders each year, asked about high-volume manufacturing of curvilinear masks.

Figure 5. Results of the 2023 eBeam Initiative Luminaries Survey showing that 87% of the respondents are ready for at least a limited number of curvilinear masks. 33% can handle as many masks as there is demand[14]. Source: eBeam Initiative.


Figure 5. Results of the 2023 eBeam Initiative Luminaries Survey showing that 87% of the respondents are ready for at least a limited number of curvilinear masks. 33% can handle as many masks as there is demand[14].

The motivation for curvilinear masks: Improved process window at every node

What has motivated to photomask industry to prepare for these changes is the demonstrated benefits of using curvilinear mask features. Dating back to the first ILT papers in 2006, numerous studies have shown curvilinear masks can improve process window for all technology nodes and lithography technologies, from 193 dry, 193 immersion, to EUV. One such study was conducted by Luminescent with Samsung[9] in 2009. As shown in Figure 6, curvilinear mask patterns for a contact array were generated with different pitches.


Figure 6. A study of a contact array using varied levels of curvilinearity. The best depth of focus was achieved by the unconstrained curvilinear ILT[9]. Source: Samsung.


For each pitch, there was an unconstrained curvilinear ILT mask pattern and then simplified ILT mask patterns, all the way to just horizontal and vertical scaling bars very close to OPC. Then the wafer was printed with dose and focus matrix, and CDs were measured. When we look at the process window, you can see for every pitch the unconstrained curvilinear mask pattern always gives the largest depth of focus.

More recently, in 2020, Ezequiel Russell from Micron [13], showed that for the three contacts shown in Figure 7, using full curvilinear ILT can improve the depth of focus by 85%.

Figure 7. Curvilinear ILT provides an 85% increase in depth of focus compared to standard OPC[13]. Source: Micron.

In 2019, I presented with Micron an over 100% improvement over OPC on process window using curvilinear ILT patterns created by the full-chip stitchless ILT solution described above and written using a multi-beam mask writer. (Figure 8) [12].

Curvilinear also enables smaller pitches, simpler mask rules
Some additional benefits of using curvilinear mask patterns are related to mask rules. First, curvilinear mask shapes can enable smaller pitches. A simple example representing part of a contact array is shown in Figure 9.

Minimum corner-to-corner mask rules limit how tightly you can pack the contact array. Just by changing the target mask shape of the contact from square to circular, using the same mask rules, the pitch can be reduced by 14%. Simply put, curvilinear contacts can be packed tighter than Manhattan contacts.

Figure 8. Curvilinear ILT provides over 100% increase in process window on random contact layer compared to standard OPC using a multi-beam mask writer[12]. Source: D2S and Micron.

Curvilinear mask features also present the opportunity for greatly simplified mask rules, with only minimum curvature, minimum CD, minimum space, and a minimum area required [15]. Figure 10 illustrates this using an intuitive example.

In this example (Figure 10), the size of the green ball represents the minimum CD. If the ball rolls along the contour inside a given pattern, and it doesn’t get stuck, that means it satisfies the minimum CD rule. If it gets stuck somewhere, as shown with the red ball, that means the pattern violates the minimum CD rule.


Figure 9. By moving from rectilinear to curvilinear mask features, pitches can shrink by 14%.


Similarly, you can roll the ball outside of the pattern to determine minimum spacing, as shown with the blue ball in Figure 10. Any overlaps into other patterns, as shown with the red ball, would be spacing violations. Of course, in practice, a fast, pixel-based algorithm tailored for GPU would be used to execute this concept.


Figure 10. A conceptualization of how design rules might be simplified for curvilinear masks, using only minimum curvature, minimum CD, minimum space, and a minimum area[15]. Source: D 2S.


Note:
This article is based on a paper from the author presented at SPIE Advanced Lithography 2024[22].

Part 2 of this article will appear in SiS Issue 8, published in October.










Advances in active alignment engines for efficient photonics device test and assembly
Automation in semiconductor test processes: a key factor in modern production
ATE testing challenges of heterogeneous silicon chips with advanced packaging
Urgent orders boost wafer foundry utilisation in Q2
High performance inspection solutions at SMTAI 2024
Imec at the 50th IEEE European Solid-State Electronics Research Conference
Keysight unveils Wire Bond Inspection solution
Biden-Harris Administration reveals preliminary terms with HP
STMicroelectronics joins Quintauris as sixth shareholder
IDTechEx explores advanced semiconductor packaging
RPI and Hokkaido University sign Letter of Intent for semiconductor collaboration
KoMiCo to establish Mesa cleaning and coating facility
Why the mask world is moving to curvilinear
Precision sealing for advanced semiconductor manufacturing: Greene Tweed leads the way
ESMC breaks ground on Dresden fab
KMITL launches semiconductor R&D centre
Adeia celebrates Spioneer, Dr. Belgacem Haba
Powering progress: sustainability initiatives driving change in the semiconductor industry
Automotive semiconductor market poised for $88 billion by 2027
Biden-Harris Administration reveals preliminary terms with TI
GCT Semiconductor Holding signs MOU with tier one telecommunications supplier
Commission approves €5 billion German State aid measure to support ESMC
Memory makers drive semiconductor growth
EV Group highlights 3D integration process solutions at SEMICON Taiwan 2024
Intel demonstrates AI architectural expertise
AMD acquires hyperscale solutions provider ZT Systems
Everspin awarded $14.55m to provide on-shore MRAM manufacturing
Ultra Compact Sensor Module for smart air quality monitoring
Greene Tweed highlights ONX 600 for semiconductor applications
Akeana exits stealth mode with comprehensive RISC-V processor portfolio
Advancing semiconductors for the age of AI
Laser Thermal earns Direct to Phase II SBIR DARPA Award
×
Search the news archive

To close this popup you can press escape or click the close icon.
Logo
×
  • 1st January 1970
  • 1st January 1970
  • 1st January 1970
  • 1st January 1970
  • 1st January 1970
  • 1st January 1970
  • 1st January 1970
  • 1st January 1970
  • View all news 22645 more articles
Logo
×
Register - Step 1

You may choose to subscribe to the Silicon Semiconductor Magazine, the Silicon Semiconductor Newsletter, or both. You may also request additional information if required, before submitting your application.


Please subscribe me to:

 

You chose the industry type of "Other"

Please enter the industry that you work in:
Please enter the industry that you work in: