Loading...
News Article

eBeam Initiative survey predicts photomask growth

News

Results of 13th annual Luminaries survey to be presented at live event held during SPIE Photomask Technology + EUV Lithography Conference.

The eBeam Initiative, a forum dedicated to the education and promotion of new semiconductor manufacturing approaches based on electron beam (eBeam) technologies, has completed its 13th annual eBeam Initiative Luminaries survey. Industry luminaries representing 49 companies from across the semiconductor ecosystem – including photomasks, electronic design automation (EDA), chip design, equipment, materials, manufacturing and research – participated in this year’s survey.

100 percent of survey respondents predict that mask revenues in 2024 will increase (74 percent) or stay the same (26 percent) compared to 2023. Luminaries were also positive on future equipment purchases over the next three years, with increases predicted for multi-beam mask writers (93%), mask inspection (85%) and laser mask writers (48%). In addition, the percentage of luminaries that believe that fabs without EUV can reach 5nm within 7 years has increased from 12 percent last year to 19 percent this year.

New questions were added to the Luminaries survey this year to gauge perceptions on EUV pellicles and high-NA stitching. 81 percent think that stitching for high-NA EUV masks will require designers to be aware of the stitching boundaries during design. 33 percent believe that EUV mask lifetime is at least 3x longer with pellicles than without.

The complete results of the Luminaries survey will be discussed by an expert panel this evening during an eBeam Initiative event held in conjunction with the SPIE Photomask Technology + EUV Lithography Conference in Monterey, Calif., and will be available for download following the event at www.ebeam.org.

Additional Perceptions from the Luminaries Survey (conducted in July 2024):

74 percent agree that curvilinear inverse lithography technology (ILT) is useful for 193i for non-EUV leading-edge nodes – with 29 percent strongly agreeing with that statement compared to 24 percent last year

55 percent say that some critical layers of leading-edge nodes are using ILT today, up from 46 percent last year and 35 percent from two years ago

Mask shop software infrastructure continues to remain the biggest concern in producing masks with curvilinear shapes

Predictions on deep learning adoption have slipped a year, with 54 percent predicting that deep learning will become a competitive advantage for any step in the mask making process by 2025, compared to 56 percent who said by 2024 in last year’s survey

“We look forward to an exciting week at SPIE Photomask where the eBeam Initiative will be hosting its 15th annual photomask meeting – demonstrating the continued strong support across the semiconductor ecosystem for this collaborative forum,” stated Aki Fujimura, CEO of D2S, the managing company sponsor of the eBeam Initiative. “It’s truly an exciting time to be a part of the photomask industry, which has seen such strong growth in recent years – a testament to the amazing talent within the mask community, as well as to the industry’s growing importance in driving semiconductor innovation. It’s welcome news that the vast majority of participants in this year’s eBeam Initiative Luminaries survey, who represent top business and technology experts in the industry, see this growth trend continuing in 2024.”

Heronic Technologies to enter strategic discussions with ROHM
Significant EU funding for VTT's semiconductor development
eBeam Initiative survey predicts photomask growth
SONOTEC presents Compact Flow Meter Series at ICPT Conference
SIAE MICROELETTRONICA selects EnSilica
Veeco Instruments reduces critical shortages by 50% with LeanDNA
Central State University to spearhead semiconductor research
UTEP establishes collaboration with DoD and NSA
Purdue receives grant funding in all three areas of NSF semiconductor research program
POET and Mitsubishi Electric collaborate
Critical Manufacturing welcomes Jeff Winter as head of business strategy
Fraunhofer IPMS bids farewell to its long-standing institute director
Sivers Semiconductors receives CHIPS Act funding
Aeluma wins $11.717 million DARPA contract
EnSilica joins TSMC Design Center Alliance
Greene Tweed welcomes Adam Phan as General Manager, Sealing Systems
Support for semiconductor firms to grow, powering growth in £10bn UK industry
Advantest Wins 2024 Supplier of the Year Award from Qualcomm
Intel awarded up to $3B for Secure Enclave
Valens Semiconductor celebrates automotive design wins
Benchmark celebrates Penang, Malaysia opening
TRI releases Core Features 3D AOI solution
Industry plans to invest $400 billion in 300mm fab equipment
Polymatech and ECM Group forge strategic JV
Building success with sustainable semiconductor waste
US Department of Commerce awards semiconductor grants
Tokyo Electron and TATA Electronics Private form strategic partnership
Carbon dioxide capture success
SEMI and IESA join to strengthen semiconductor ecosystem at SEMICON India 2024
Keysight Technologies to acquire Optical Solutions Group from Synopsys
Optogenetic OLED-on-CMOS stimulators for neurosensory therapies
Discover innovative inspection solutions at electronica 2024
×
Search the news archive

To close this popup you can press escape or click the close icon.
Logo
x
Logo
×
Register - Step 1

You may choose to subscribe to the Silicon Semiconductor Magazine, the Silicon Semiconductor Newsletter, or both. You may also request additional information if required, before submitting your application.


Please subscribe me to:

 

You chose the industry type of "Other"

Please enter the industry that you work in:
Please enter the industry that you work in: