Loading...
Technical Insight

Magazine Feature
This article was originally featured in the edition:
2024 Issue 8

Why the mask world is moving to curvilinear

News

If you’ve been to a lithography or photomask technology conference lately, you’ve likely noticed a trend: many papers and talks on curvilinear masks, curvilinear OPC, curvilinear ILT, curvilinear mask process correction (MPC), and curvilinear mask formats. The photomask industry is experiencing a fundamental shift from Manhattan masks to curvilinear masks. Part 2.

By Leo Pang, D2S, Inc.




Manhattanizing curvilinear ILT mask shapes creates two issues. First, there is the issue with VSB shot count discussed in Part 1 of this article in SiS Issue 6. The other issue is mask dose margin. Just as the wafer print on the scanner has process window, mask writing on an eBeam mask writer also has process window. In the case of wafer process window, the two variables are focus and dose. In mask writing, since the eBeam writer has infinite or very large depth of focus, the main variable is dose. Instead of calling it dose latitude (as they do in the lithography world), the mask world calls it dose margin. Dose margin is particularly bad for 90-degree corners. Manhattanizing curvilinear mask shapes creates a lot of 90-degree corners, as shown in Figure 11.


Figure 11. Dose margin is poor for 90-degree corners. Manhattanized curvilinear shapes have many 90-degee corners and so have poor dose margin in comparison to the smooth curvilinear shape.

In Figure 12, on the right, we show the dose margin for Manhattan and curvilinear contact arrays. Dose margin is represented by a pseudo color: the red represents bad dose margin and the green represents good dose margin. The 90-degree corners of the Manhattan contacts clearly have worse dose margin than the smooth curvilinear contacts. On the left of Figure 12, is a study done in 2019[16], demonstrating that while the edges of the square contact had good dose margin, the corners had unacceptable dose margin. At the same time, it shows that circles at any angle have good dose margin.



Figure 12. While edges of Manhattan features have good dose margin, 90-degree corners have very poor dose margin, as shown by the red pseudo color. Circles have acceptable dose margin at any angle, as shown by the green/yellow pseudo color[16]. Source: D2S.

In addition, curvilinear shapes have less variation on wafer or smaller mask error enhancement factor (MEEF). A 2022 study found that in comparing the MEEF of a Manhattanized diagonal line to a curvilinear diagonal line, the smooth curvilinear line had ~28% improvement in MEEF over the stair-stepped Manhattanized line [17] (Figure 13).

This is the fundamental reason why curvilinear is better. Imagine moving the edge of a shape by a unit of 1, as shown in Figure 14. If it is a Manhattan shape, such as a square, the edges in the X and Y direction are moved by 1, but the corners are moved by 1.4. In a curvilinear shape, such as a circle, the edge in every place on the circle is moved by 1. This means the same change on mask will cause more variations on wafer with the Manhattan shape than the curvilinear shape.

For the same reason as the explanation above, curvilinear shapes have less variation in the mask process as well. In the mask process, which involves bias processes such as etching, curvilinear shapes are created more faithfully. Figure 15 summarizes the findings of a joint study of contact arrays with Micron and D2S. We created contact arrays, both curvilinear and Manhattan [18], and made 6 copies on each array on the mask. We took measurements every four nanometers from four locations on each contact array (left edge, center, a corner, and the bottom), including hundreds of contacts each for a total of millions of measurements.



Figure 13. Both the mid-edge and line-ends of Manhattanized patterns have worse MEEF than the smooth curvilinear patterns[17]. Source: D2S.

First, we collected SEM images for all 6 copies of contact array at the same 4 locations (left edge, center, a corner, and the bottom), then we used proprietary software to align the 6 copies of the images for each location. The alignment considered the distortions that the SEM image could introduce, including shift, rotation, and scaling. Once the images were aligned, the contours were extracted using proprietary software. The multiple contours on each contact formed a variation band, and the bandwidth was measured every 4nm. Then we looked at the statistics to measure the mask variation band in the plot on the right, with curvilinear represented in blue and Manhattan represented in orange. We can see that the blue curve is shifted to the left compared to the orange, meaning that it’s closer to zero. We can also see that the distribution of the blue curve is narrower, showing less variation.

Figure 16 shows the mean, standard deviation, and max variation for both the Manhattan (in orange still) and the curvilinear (in blue). In all cases, curvilinear contacts show significantly less variation, especially those in the corners with more SRAFs. Recall, these are measurements from the same mask written by the same multi-beam mask writer. The only difference is the pattern. One is a curvilinear pattern, the other one is the Manhattan pattern, but the curvilinear pattern on mask has a 20% smaller variation than Manhattan pattern. That is very significant, because with MEEF, this will translate to the smaller variation on wafer as well.



Figure 14. Moving a rectilinear shape by a unit of 1 causes the corners to move by 1.4, causing greater wafer variation, as compared to the circle, which is moved by a unit of 1 in every place on the circle.

MWCO enables curvilinear benefits for vsb-written masks
We previously covered how the multi-beam mask writer solved the write time problem for curvilinear masks and we have shown that Manhattanized versions of curvilinear shapes do not yield the same benefits as true curvilinear shapes. But many mask shops use VSB mask writers today and will continue to do so. Is there any hope that VSB-written masks can reap the full benefits of true curvilinear shapes? Yes, there is. As we’ve seen, the key to reducing mask variation is to reduce the number of corners. Using fewer shots helps the write time problem, and it means there are fewer corners, which will reduce the mask variation. In 2021, this author and colleagues at D2S and Micron introduced the concept of mask wafer co-optimization (MWCO) [19]. Starting with an ILT solution, then using overlapping shots to reduce shot count, MWCO uses double simulations – the mask simulation, and then the wafer simulation – and based on the wafer result, iteratively moves those shots to minimize edge-placement error (EPE) on wafer, as shown in Figure 17. MWCO uses overlapping shots on small SRAFs, which generally comprise the majority of shots. For main features we use fewer larger shots that are not overlapping.



Figure 15. Curvilinear mask patterns (blue on the graph at right) have less variation and a tighter distribution of variation in comparison to the Manhattan mask patterns (orange on the graph at right)[18]. Source: D2S and Micron.

As seen in Figure 18, an ILT pattern fractured with conventional shots (left) uses almost 5X the number of shots as an OPC solution (far right, shots not shown). By using overlapping shots alone, the number of shots can be halved (center left). However, using MWCO with overlapping shots (center right) yields a curvilinear solution that uses fewer shots than the OPC solution. Using fewer shots also means that the write time for the MWCO solution is shorter than for the OPC solution. In this case, the entire curvilinear mask was written in less than 12 hours on a VSB mask writer. Figure 19 shows the curvilinear mask patterns from Figure 18.

Figure 20 is the process window plot produced from this experiment. The x-axis is the focus, the y-axis is the dose change. Since there are 61 sites, the ratio of the number of sites meeting the process window requirement to the total number of sites was plotted [13]. A CD variation of 10% is used as the process window criteria. The pseudo color from green to red represents process window from good to bad. Overall, curvilinear ILT using overlapping shots without MWCO and curvilinear ILT using overlapping shots with MWCO enlarged the green (or non-red) region by over 2X, especially the depth of focus (DoF). Comparing overlapping shots without MWCO and with MWCO, the MWCO is slightly better, showing the benefit of optimizing wafer EPE instead of mask EPE while only using half the number of shots as the overlapping shots without MWCO case.



Figure 16. Mask variation for Manhattan (orange) and curvilinear (blue) mask patterns. Mean, standard deviation, and max all show less variation for the curvilinear mask features[18]. Source: D2S and Micron.

The mask industry has been preparing for curvilinear

Curvilinear masks provide multiple, substantial benefits for both mask and wafer quality. Knowing this, the photomask industry has been preparing and is now ready to create curvilinear masks in production. There are still some challenges to resolve, as shown in Figure 21 [14], which presents the responses of industry luminaries in the eBeam Initiative 2023 survey, ranking the concerns about producing curvilinear masks. Datapath was the top concern, a sentiment which was reflected in the work on the Multigon format standards. None of these concerns is insurmountable and many, such as datapath and mask metrology, have proposed solutions in the works already.




Figure 17. MWCO creates overlapping shots to reduce the shot count of SRAFs. Mask and wafer simulations are performed and the wafe results are used to move the overlapping shots to optimize wafer EPE.

OPC, mask rule checking (MRC), mask process correction (MPC), mask data preparation (MDP), and other data processing steps have traditionally inherited the polygon-based geometry manipulation from EDA which had been primarily focused on manipulating Manhattan rectangles efficiently on CPUs by moving or analyzing vertices and edges. The pixel is ideal for processing curvilinear shapes (or any shapes – pixels are shape-agnostic) [17]. This is not a new finding. All the mask and wafer equipment related to imaging are already pixel-based, including mask and wafer inspection, SEM machines, and mask repair tools.



Figure 18. An ILT pattern for a contact array is fractured using conventional shots (left), overlapping shots without MWCO (center) and overlapping shots using MWCO (right). The MWCO solution had fewer shots (and therefore a shorter write time) than the OPC solution. Source: D2S.

Although OPC is edge based, or polygon based, ILT is pixel based. Now, multi-beam mask writers are also pixel-based. So, it makes sense that MRC, MPC, etc., all could become pixel based. MPC has already been introduced in the pixel domain, as pixel-level dose correction (PLDC). Since PLDC can be done in real time, the turnaround time needed for MPC becomes zero [21]. This opens opportunities to have the entire tapeout flow in
the pixel domain. It is important to note that pixel data does not mean the data volume will be bigger than polygon data, since pixel data can be compressed.



Figure 19: Mask SEM images of VSB shot for three contact arrays with (a) conventional shots, (b) overlapping without MWCO, and (c) MWCO [19](Source: Micron).

Curvilinear masks are good for semiconductor manufacturing because 1) they significantly expand the wafer process window; and 2) they produce manufacturable mask targets, which are more reliably manufacturable and produce fewer nuisance defects.



Figure 20. Process window plot produced from the wafers. The red pseudo color represents areas outside the process window; the green pseudo color represents areas inside the process window. The MWCO solution has more than 2X the process window as the OPC solution. Source: D2S and Micron.


But the most remarkable effect of curvilinear masks is that curvilinear masks enable curvilinear design, i.e., curvilinear wafer targets. Just as manufacturable shapes are more reliably manufacturable on masks, manufacturable curvilinear designs are more reliably manufacturable on wafer as well. Curvilinear designs hadn’t been manufacturable until recently, so study is just beginning [20] on the potential benefits in power, performance, area, yield, and cost that could come with judicious applications of curvilinear designs. It will be exciting to see how this unfolds on the design side.



Figure 21. Responses from eBeam Initiative Luminaries Survey 2023, showing that the biggest concern about curvilinear masks is the mask shop datapath[14]. Source: eBeam Initiative.

This article is based on a paper from the author presented at SPIE Advanced Lithography 2024[22].

Part 1 of this article appeared in SIS Issue 6, published in September 2024.

Why the mask world is moving to curvilinear
Beyond AOI: An AI-driven revolution in visual inspection
Next Gen 3D X-Ray Inspection for Advanced Packaging: To see better. Faster. More.
Xscape Photonics raises $44 million Series A
CMOS sensors for niche vision applications
Emphasis on AI applications
Promoting collaboration and novel IC design technologies
East Asian manufacturer's metrology must-haves
Infineon intensifies sustainability collaboration
Accelerating semiconductor designs for AI applications
Heidelberg Instruments launches nanolithography tool
SEMIFIVE concludes HyperAccel contract
Nova Prism 2 selected by logic manufacturer
Baya Systems expands through partnership with IPro Silicon IP
SensiML and Efabless partner
How to bring photonic chips to the market faster?
Pfeiffer Vacuum becomes Pfeiffer Vacuum+Fab Solutions
Infineon combines silicon and silicon carbide in an e-mobility power module
Jacobs awarded CG Semi contract
Klika Tech joins Nordic Semiconductor Partner Program
Experts warn UK semiconductor firms are at risk from global trade war
Seoul Semiconductor illuminates its wired and wireless networking experience
Amkor and TSMC to expand partnership
Visualisation first for UC Santa Barbara
Plurilock achieves US$1.7 million cybersecurity sale
SIAE MICROELETTRONICA selects EnSilica
Mitsubishi Electric begins supplying power semiconductor chips
Advanced Energy announces STEM Scholarships for 2025-2026 academic year
Electronic System Design industry posts $4.7 billion in revenue
Survey predicts photomask growth
Shaping tomorrow's semiconductor industry
Terecircuits unveils new bonding material
×
Search the news archive

To close this popup you can press escape or click the close icon.
Logo
x
Logo
×
Register - Step 1

You may choose to subscribe to the Silicon Semiconductor Magazine, the Silicon Semiconductor Newsletter, or both. You may also request additional information if required, before submitting your application.


Please subscribe me to:

 

You chose the industry type of "Other"

Please enter the industry that you work in:
Please enter the industry that you work in: