Info
Info
Features search:

< Page of 1 >

Features


Saturday 1st April 2006
The growth and development of Asian economies, and China’s in particular, will represent the major driving force for the growth of the semiconductor market between now and 2010.
Saturday 1st April 2006
Gallium nitride based technology is generating new and exciting applications that will dramatically change how we view the world and Unaxis is participating at the advancing edge.
Wednesday 1st March 2006
Some commentators suggest that the European semiconductor industry is in bad shape, figures presented at the Industry Strategy Symposium in Amsterdam demonstrate that the European industry has continued to be a strong player in the global marketplace and will remain so in the future. David Ridsdale reports
Wednesday 1st March 2006
Organic Electroluminescent devices have recently attracted much interest due to their physical properties and potential applications in light-emitting devices and flat panel displays. The development of new materials displaying proper color with high efficiency and stability is essential for the development of a full color display. This review focuses on the recent progress in development in OLED’s based on polymer blends, charge transfer nanocomposites and various approaches to achieve full color display for lightweight and flat panel display devices.
Wednesday 1st March 2006
Leading microscope manufacturer, FEI, claims that it will cut the costs of system integration by up to 50% in a project using a new type of motion control system. Hillsboro (Oregon, USA) based FEI has been in the microscopy business since 1949, when it produced the world’s first Transmission Electron Microscope (TEM). Today, FEI manufactures a full range of microscopes, including scanning (SEM) and TEM types, plus dual-beam lab-based and related equipment. Its tools for NanotechTM featuring focused ion- and electron-beam technologies deliver 3D characterization, analysis and modification capabilities with resolution down to the sub-Ångström level.
Wednesday 1st March 2006
Over the past two decades, the once vertically integrated electronics industry has fragmented into a multi-layered network of horizontal suppliers. This disaggregation has complicated the supply chains associated with designing and manufacturing products, and it has created an increasingly complex design chain for the development of end-product designs.
Info
Wednesday 1st March 2006
A smoothly running supply chain is not easy to achieve.  Although much of supply chain management consists of sourcing and receiving parts and services in a timely fashion and at an acceptable cost, there are often other factors that complicate management.  Outwardly similar manufacturers may wind up evolving very different supply chain management styles.
Wednesday 1st March 2006
Scribing and through cutting is possible with the same process, without any damage to the dies. Delphine Perrottet and Bernold Richerzhagen of Synova report on progress with water-jet-guided laser technology.
Friday 10th February 2006
Modern MEMS devices are expected to operate in a variety of extreme conditions and one of the challenges is to enable effective testing of devices in simulated conditions. Joshua M. Preston and Frank-Michael Werner of SUSS MicroTec discuss potential solutions.
Friday 10th February 2006
The US National Nanotechnology Initiative outlines 9 research development areas that, as they progress, will affect us all. This article outlines what some computers will look like within a few years, and how we will program a computer that we cannot see, no longer the realm of science fiction.
Friday 10th February 2006
Small size, low cost and an ability to make sensitive motion measurements in 3-dimensions is opening up excellent market opportunities for MEMS-based acceleration sensors in consumer applications. Over the next few years, the market will grow quickly from $50 million to $212 million based on shipments of close to 150 million units in 2009, mostly for 3-axis accelerometers in mobile phones, PDAs, MP3 players and laptops. R. Dixon and J. Bouchaud of Wicht Technologie Consulting in Germany report.
Friday 10th February 2006
Andy Hughes, technical engineer from leading printed circuit board manufacturer, Exception PCB, provides a practical perspective on environmental compliance and its possible impact on the European semiconductor industry.
Info
Friday 10th February 2006
The camera module for the mobile phone has become a booming market. By the year 2008 nearly 80% of the worldwide mobile phone industry is expected to incorporate a camera module making this one of the most lucrative market areas in mobile phone technology. Jean-Christophe Eloy of Yole Développement discusses, how this 80% market share will mean the camera module business will represent 490 Millions units in 2008.
Friday 10th February 2006
3D microstructures represent the type commonly found in the field of micro-electromechanical systems (MEMS), optical micro-electromechanical systems (MOEMS) and backend processes (advanced packaging). Katrin Fisher of SUSS MicroTec provides an overview of different resist types which have been successfully sprayed. The edge coverage on various structures and materials achieved by spray coating are also discussed.
Friday 10th February 2006
Semiconductor design remains a growth area for R&D outsourcing as the benefits to businesses become more obvious. R&D, it appears, is no longer the sacred cow that it once was, something companies would never share with other companies as reported by Wipro
Wednesday 1st February 2006
Joseph Sawicki of Mentor Graphics discusses the implications of defect mechanisms in nanometer design, and the new generation of EDA parametric yield tools.
Wednesday 1st February 2006
Shrinking device dimensions for advanced semiconductor devices require extremely shallow doping. New techniques are required in order to manufacture Source Drain Extensions (SDE) and DRAM poly doping at high throughput with tight process control and low contamination. Considering the limitations of plasma and ion implant technology, and such increasingly complex market demands, alternative technologies need to be considered argue Wes Skinner, John Hautala, Matt Gwinn, Yan Shao, Tom Tetreault – Epion Corporation.
Wednesday 1st February 2006
Dick James of Chipworks, the reverse engineering company, recently attended the International Electron Devices Meeting (IEDM) in the USA. He kindly offers his take on the event for the readers of European Semiconductor.
Info
Wednesday 1st February 2006
With the technological mix appearing fit for continual progressive integration, the issue of advanced housing pushes to the forefront as a prerequisite to set the bounds for the next objective for component assemblers. Consistent in speed at which technology is advancing the issue of cost and the efforts to minimalise costs are prevalent. Parallel to this is the progression at which the magnitude of size reduction is becoming possible. Here the need for packaging solutions is tackled as DEK addresses the challenge and present the wafer buffing solution.
Wednesday 1st February 2006
The process of manufacturing nanowires—the smallest possible threads, sometimes just a few atoms wide—is not yet completely understood. Sophisticated modelling software is helping researchers rethink their previous assumptions about nanowire growth. With this new found knowledge they can better understand and explain this revolutionary process and prepare it for commercialisation. Jukka Tarvo of Comsol discusses.
Thursday 1st December 2005
In 2005, immersion lithography cemented its position as the next viable production patterning process, with a range of new tools arriving and chipmakers announcing firm plans for moving the technology into production in the next few years. As 2006 begins, immersion is expected to achieve even finer resolution, with shipments of 45nm-capable tools (and the necessary materials and ancillary equipment), and research institutions continuing their important development work. Ron Kool, Director of Product Marketing at ASML looks beyond immersion and discusses the future of ASML's lithography programme including next year's delivery of ASML's EUV Alpha tools to research organisations in Europe and North America.
Thursday 1st December 2005
If you were to believe everything you read lately then you might consider Europe a spent force in IC manufacturing but of course you would be wrong. Gilbert Declerck, President and CEO of IMEC discusses the important role Europe continues to play in advancing the global microelectronics industry.
Thursday 1st December 2005
Shape-based routing is becoming a key tool in improving yields at the design stage, rather than in manufacturing. Mark Waller, co-founder and vice president of research and development at Pulsic looks at how design tools can significantly reduce time to yield.
Thursday 1st December 2005
Semiconductor fabrication processes for technology nodes of 90 nm and below are pushing the limits of standard metrology systems for inspection and measurement of epitaxial layers on wafers. As a result, manufacturers are turning towards advanced X-ray metrology solutions. Dr. Paul Ryan of Bede X-ray Metrology discusses.

×
Search the news archive

To close this popup you can press escape or click the close icon.
Logo
×
Logo
×
Register - Step 1

You may choose to subscribe to the Silicon Semiconductor Magazine, the Silicon Semiconductor Newsletter, or both. You may also request additional information if required, before submitting your application.


Please subscribe me to:

 

You chose the industry type of "Other"

Please enter the industry that you work in:
Please enter the industry that you work in:
 
X
Info
X
Info
Live Event