Info
Info
Features search:

< Page of 1 >

Features


Sunday 1st August 2004
Synova discusses a water based laser system that allows the user to move down to the die level without fear of damaging the chip
Thursday 1st July 2004
Technology changes in the semiconductor market is forcing device makers to partner with silicon wafer manufacturers to achieve the performance needed to move forward. Those who take advantage of the new reality will realise a competitive edge and reap the subsequent rewards, writes Dr Bruce K Kellerman of MEMC Electronic Materials
Thursday 1st July 2004
International roadmaps are designed to ensure the industry moves forward together. Precious research resources are directed towards an agreed path allowing for competitive partnerships. Lithography is the major key to the success of continuing expectations in adding more complexity in less space. The last year has seen a dramatic shift in plans for lithography. The expected move to shorter wavelengths has not occurred and immersion lithography is suddenly seen as the technology to push current tools further. David Ridsdale asked the two biggest players in the lithography market, Europe's ASML and Japan's Nikon, about immersion lithography and its impact on the industry.
Thursday 1st July 2004
Recently, ISMI brought together representatives of chip-related and emerging industry entities to assess overall market conditions and identify economic commonalities. Speakers at the resulting Global Economic Symposium held May 26 in Arlington, VA, gave assessments that provide reason for optimism, caution, and careful planning for the future. Following is a summary of the highlights by Denis Fandel, senior industry analyst with SEMATECH that demonstrates the global opportunities for all companies and regions.
Thursday 1st July 2004
The implementation of microlectronic-type processing to more variable microstructures is not easy. Many companies have tried and failed. The development of a fabless-foundry infrastructure has been slow. Jim Knutti, CEO of Silicon Microstructures (SMI), explains what to do when one relationship has failed and a new one is needed.
Thursday 1st July 2004
Integration of low-k into a reliable semiconductor production process remains challenging and clearly new ideas are necessary. Seref Kalem of Turkey's National Institute of Electronics and Cryptology describes a cryptocrystalline material with possible low-k and other applications.
Info
Tuesday 1st June 2004
The high-tech industries are only as good as their future products. Carl Zeiss has been reorganising to meet the future needs of the semiconductor and other industries entering the nano-scale era. Mike Cooke reports
Tuesday 1st June 2004
The growing volume of products requiring copper interconnects necessitates a high productivity electrofill.  Novellus researchers describe new chemistries
Tuesday 1st June 2004
CMP is in widespread use in the semiconductor industry, but is also the source of many process integration concerns. Jeremy W McCutcheon of Brewer Science describes his company's Con-Tact planarisation technique to address many of these problems
Tuesday 1st June 2004
Commercial disputes are an increasing trend within businesses. According to Nick Johnson, commercial litigation partner at Manchester law firm, Glaisyers, businesses can sometimes be their own worse enemy
Tuesday 1st June 2004
Packaging micro-electro-mechanical devices is less generic than IC assembly processes. IMEC scientists review trends in MEMS packaging
Saturday 1st May 2004
Researchers from Mykrolis and STMicroelectronic present results of a recent study on particle filtration in recirculation HF and SC1 baths that was completed at ST Crolles 1 in France
Info
Saturday 1st May 2004
Alex Robertson, Safety, Health and Environment Officer at DuPont EKC Technology, describes how to protect the environment from the dangerous chemicals used in semiconductor production
Saturday 1st May 2004
Recent developments have enabled the manufacture of CMP pad conditioners with more consistent performance and longer life, thereby improving cost of ownership. Edward Thear and Fred Kimock of Morgan Advanced Ceramics highlight the key factors that control consistency of conditioning and discuss what can be done to improve the consistency of conditioners via design and manufacturing controls.
Saturday 1st May 2004
Leading European IC producer STMicroelectronics is proud of its environmental achievements and programmes. And however large or small, almost every initiative will have a financial as well as an environmental payback in the long term. GIULIANO BOCCALETTI, PIERRE JACQUEMIER and PHILIPPE LEVAVASSEUR of STMicroelectronic'c corporate environmental support group discuss company practices
Thursday 1st April 2004
SEMI standards have been instrumental in enabling an initial level of interoperability. However, the industry now requires a level of optimisation and standardisation that drives down cost of owership as it enters a period of robust 300mm volume manufacturing. A key area for standard products is factory automation and in particular the equipment front-end module (EFEM) - the interface where IC manufacturers must plug in tools to the automated material handling system (AMHS). Tom Chang, director of Product Management at Asyst Technologies, looks at the next generation of integrated systems technology for EFEMs and wafer sorters.
Thursday 1st April 2004
The United Kingdom was not kind to its semiconductor manufacturing industry. While the rest of Western Europe grew with globally leading manufacturing companies the United Kingdom manufacturing base melted away as interest moved elsewhere. It is this very fact that makes the achievements of the United Kingdom based JEMI (Joint Equipment and Materials I) all the more impressive as they prepare for the 4th annual Semiconductor conference in Edingburgh. Mike Smyth & Dr. Bedwyr Humphreys of JEMI provide background as to why this event is becoming so popular.
Thursday 1st April 2004
To exceed the targets set by the industry’s roadmap, requires preparation. Europe plans to be at the forefront for nano-scale electronics.
Info
Thursday 1st April 2004
Semiconductor manufacturers would be familiar with the terms “Stuck-At” and “Pass/Fail” as they watch to see if the fruits of their labour develop into fully formed devices; or they need to discover what went wrong. With 90nm manufacturing, the reasons for failure are as complex as the chips themselves. The familiar testing, verification and diagnostic tools need to develop a synergy if they are to remain effective in a more demanding manufacturing environment. Greg Aldrich and John Ferguson of Mentor Graphics Corporation explain how they see the industry moving from “Stuck-At” Explosion to “At-Speed” Containment.
Thursday 1st April 2004
A new drying technique could be the launch pad for an optimised generation of wet-processing tools.
Thursday 1st April 2004
Cleaning after the chemical mechanical planarisation (CMP) process has traditionally been of the spin-rinse-dry (SRD) variety. These processes often leave particles in the form of water marks that could create killer defects in 65nm processes. Applied Material’s new Desica vapour-dry module is designed to eliminate SRD-related water marks on low-k metal interconnect patterned wafers.
Thursday 1st April 2004
Cleaning residues from processing is a key step in semiconductor production. New transistor gate materials are due in the near future with the use of High-K Gate insulationand metal rather than polysilicon gate electrodes. Researchers from IMEC and Spin Processor tool producer SEZ consider the implications of such new materials for cleaning.
Monday 29th March 2004
When is the best time to institute ‘equipment lifecycle management’ and enlist the aid of an outside asset management organisation? “Right now,” says Trey Brown, general manager, GE Global Electronics Solutions
Monday 29th March 2004
The annual SEMI Industry Strategy Symposium was held in Paris in February. With the longest downturn for the industry the attendees were looking for positive feedback. David Ridsdale was there to see if expectations were met.

×
Search the news archive

To close this popup you can press escape or click the close icon.
Logo
×
Logo
×
Register - Step 1

You may choose to subscribe to the Silicon Semiconductor Magazine, the Silicon Semiconductor Newsletter, or both. You may also request additional information if required, before submitting your application.


Please subscribe me to:

 

You chose the industry type of "Other"

Please enter the industry that you work in:
Please enter the industry that you work in:
 
X
Info
X
Info
Live Event