+44 (0)24 7671 8970
More publications     •     Advertise with us     •     Contact us
 
News Article

EV Group Extends Volume Manufacturing Expertise to Biotechnology and Medical Device Applications


EV Group (EVG), a supplier of wafer bonding and lithography equipment for the MEMS, nanotechnology and semiconductor markets, has announced that it is increasing its focus on bringing its high-volume manufacturing process solutions and services to the biotechnology and medical device market. EVG products supporting this market include the company's substrate bonding, hot-embossing, micro contact printing and UV-based nanoimprint lithography (NIL) systems. In addition, EVG will offer its world-class applications support, rapid prototyping and pilot-line production services. Customers in the biotechnology and medical markets can now leverage these patterning and sealing solutions--which have been production-proven in other industrial markets such as semiconductors, MEMS and photonics--for volume production of next-generation biotechnology devices featuring micrometre or nanometre-scale patterns and structures on larger-format substrates.

 EV Group nanoimprint lithography solutions enable parallel processing of biotechnology and medical devices on large-area substrates.

Over the past several decades, miniaturization of biotechnology devices has significantly improved clinical diagnostics, pharmaceutical research and analytical chemistry. Modern biotechnology devices--such as biomedical MEMS (bioMEMS) for diagnostics, cell analysis and drug discovery--are often chip-based and rely on close interaction of biological substances at the micro- and nanoscale. According to the market research and strategy consulting firm Yole Développement, an increasing number of healthcare applications are using bioMEMS components, while the bioMEMS market is expected to triple from US$2.7 billion in 2015 to US$7.6 billion in 2021. Microfluidic devices will represent the majority (86 percent) of the total bioMEMS market in 2021, driven by applications such as Point-of-Need testing, clinical and veterinary diagnostics, pharmaceutical and life science research and drug delivery.*

 Precise and cost-effective micro-structuring technologies are essential to successfully commercialize these products in a rapidly growing market that has stringent requirements and high regulatory hurdles. Traditional process approaches such as injection molding are often unable to produce the extremely small structures and surface patterns with the precision, quality and repeatability increasingly required for these demanding applications, or they require extensive effort in process development. At the same time, solutions are needed to scale up from discrete production of devices to batch processing of multiple devices on a single substrate in order to achieve the economies of scale required to commercialize these products.

NIL has evolved from a niche technology to a powerful high-volume manufacturing method that is able to produce a multitude of structures of different sizes and shapes on a large scale--such as highly complex microfluidic channels and surface patterns--by imprinting either into a biocompatible resist or directly into the bulk material. In addition to structuring technologies, sealing and encapsulation is a central process for establishing confined microfluidic channels. Thus, bonding of different device layers, capping layers or interconnection layers is a key process that can be implemented together with NIL in a cost-effective large-area batch process. As the pioneer as well as market and technology leader in NIL and wafer bonding, EVG is leading the charge in supporting the infrastructure and growth of the biotechnology market by leveraging its products for use in biotechnology applications. 

EVG's NIL solutions can produce a wide range of small structures (from hundreds of micrometres down to 20 nm) on a variety of substrate materials used in biotechnology applications, including glass, silicon and a variety of polymers (e.g., COC, COP, PMMA and PS). Each EVG NIL solution is uniquely suited for different production applications. For example, hot-embossing allows precise imprinting of larger structures as well as combinations of micro- and nanostructures, and is superior when replicating high-aspect ratio features or when using very-thin substrates. UV-NIL provides very-high precision, pattern fidelity and throughput in the nanometre-range. Micro contact printing, which is another NIL option, can transfer materials such as biomolecules onto a substrate in a distinct pattern.

With its established wafer-scale bonding equipment, EVG can also offer sealing and bonding processes that are well-aligned with NIL structuring technologies. A variety of different bonding options are available, ranging from advanced room-temperature bonding techniques to plasma activated bonding as well as high-quality hermetic sealing and vacuum encapsulation. Examples of typical solutions include EVG's thermal bonding equipment for glass and polymer substrates, which provides excellent results by enabling high-pressure and temperature uniformities over large areas. EVG also offers its room-temperature selective adhesive transfer technology, which eases incorporation of bio-molecules prior to the encapsulation of the device.

"EVG has a long history of providing products and solutions for biomedical R&D, having installed the first hot embossing system for emerging bioMEMS and microfluidic research applications more than 15 years ago," stated Dr. Thomas Uhrmann, director of business development at EV Group. "The knowledge that EVG has built up in this space coupled with our experience in bringing innovative technologies into volume production in other markets has positioned us well to provide proven high-volume manufacturing processes and services to the bio-medical industry to support the production of next-generation biotechnology devices."


Purdue, imec, Indiana announce partnership
Resilinc partners with SEMI on supply chain resilience
NIO and NXP collaborate on 4D imaging radar deployment
Panasonic Industry digitally transforms with Blue Yonder
Global semiconductor sales decrease 8.7%
MIT engineers “grow” atomically thin transistors on top of computer chips
Keysight joins TSMC Open Innovation Platform 3DFabric Alliance
Leti Innovation Days to explore microelectronics’ transformational role
Quantum expansion
indie launches 'breakthrough' 120 GHz radar transceiver
Wafer fab equipment - facing uncertain times?
Renesas expands focus on India
Neuralink selects Takano Wafer Particle Measurement System
Micron reveals committee members
Avoiding unscheduled downtime in with Preventive Vacuum Service
NFC chip market size to surpass US$ 7.6 billion
Fujifilm breaks ground on new €30 million European expansion
Fraunhofer IIS/EAS selects Achronix embedded FPGAs
Siemens announces certifications for TSMC’s latest processes
EU Chips Act triggers further €7.4bn investment
ASE recognised for excellence by Texas Instruments
Atomera signs license agreement with STMicroelectronics
Gartner forecasts worldwide semiconductor revenue to decline 11% in 2023
CHIPS for America outlines vision for the National Semiconductor Technology Center
TSMC showcases new technology developments
Alphawave Semi showcases 3nm connectivity solutions
Greene Tweed to open new facility in Korea
Infineon enables next-generation automotive E/E architectures
Global AFM market to reach $861.5 million
Cepton expands proprietary chipset
Semtech adds two industry veterans to board of directors
Specialty gas expansion
×
Search the news archive

To close this popup you can press escape or click the close icon.
Logo
×
Logo
×
Register - Step 1

You may choose to subscribe to the Silicon Semiconductor Magazine, the Silicon Semiconductor Newsletter, or both. You may also request additional information if required, before submitting your application.


Please subscribe me to:

 

You chose the industry type of "Other"

Please enter the industry that you work in:
Please enter the industry that you work in: