+44 (0)24 7671 8970
More publications     •     Advertise with us     •     Contact us
 
News Article

ASML Exceeds €9 Billion Sales in 2017

News

ASML Holding N.V. (ASML) has published its 2017 fourth-quarter and full-year results. The 2017 figures show net sales EUR 9.05 billion, and net income EUR 2.1 billion. ASML expects Q1 2018 net sales around EUR 2.2 billion and a gross margin between 47 and 48 percent.

For the first-quarter of 2018, ASML expects net sales around EUR 2.2 billion, a gross margin between 47 and 48 percent, R&D costs of about EUR 350 million, SG&A costs of about EUR 115 million.

CEO Statement

"ASML generated record sales and net income in 2017, helped by a strong fourth quarter. Due to industry strength, some customers requested earlier shipments of their lithography systems, which we were able to accommodate. Earlier-than-expected revenue recognition of two Extreme Ultraviolet (EUV) systems contributed to the strong performance in the fourth quarter as well. With EUV sales crossing the 1.1 billion euro mark, 2017 was the year in which preparations for inserting EUV into high-volume chip manufacturing shifted into a higher gear. This is underlined by orders for 10 more EUV systems in the fourth quarter. Sales of our Holistic Lithography and Installed Base Management products also showed significant growth in 2017," ASML President and Chief Executive Officer Peter Wennink said.

"These results reflect our technology leadership and the success of our comprehensive product portfolio as well as the strong growth fundamentals in our industry, which enable the continued innovation in personal electronics, artificial intelligence, cloud computing and mobility. For 2018 we expect continued solid growth of sales and profitability."

2017 Product and Business Highlights

With a total of 161 new DUV systems shipped in 2017, a 21 percent increase from 2016, ASML's supply chain and factories were capable of significantly boosting output in reaction to increased customer demand, supporting fast ramps of advanced nodes in memory and logic. We also provided three customers with early-access versions of the TWINSCAN NXT:2000i, our most advanced immersion lithography system, for process development of next node devices. As a sign of the continuously increasing maturity of the NXT platform, the NXT:2000i system meets or exceeds all of its performance targets. For 3D NAND customers, we expanded our options portfolio to improve focus performance on high-topography wafers and handle strongly-warped wafers, both of which are typical for this application.

Our Holistic Lithography product portfolio showed growth in all product categories: computational lithography software products, metrology and inspection systems and process window control software products. ASML also broadened its product offering with the creation of an e-beam-based pattern fidelity metrology system, ePfm5, and shipped the first HMI eXplore 6000 EUV reticle defect inspection system.

ASML shipped 10 EUV systems to multiple customers in support of their plans to use the technology in high-volume manufacturing starting in 2018 and 2019, up from 4 shipments in 2016. ASML also demonstrated a number of technology milestones, achieving a throughput of 125 wafers per hour, demonstrating a full-size, defect-free EUV pellicle, and achieving EUV-to-DUV immersion overlay of 2 nanometers, which is in line with the requirement for the 5 nanometer logic node. Installed Base Management sales surpassed EUR 2.6 billion in 2017, an increase of more than 25 percent over the prior year. ASML continued to support China's expanding semiconductor industry. Our system sales to China grew by more than 20 percent in 2017. Alongside shipments to mainland fabs operated by non-Chinese customers, we are planning to ship to five domestic Chinese customers in 2018.

Purdue, imec, Indiana announce partnership
Resilinc partners with SEMI on supply chain resilience
NIO and NXP collaborate on 4D imaging radar deployment
Panasonic Industry digitally transforms with Blue Yonder
Global semiconductor sales decrease 8.7%
MIT engineers “grow” atomically thin transistors on top of computer chips
Keysight joins TSMC Open Innovation Platform 3DFabric Alliance
Leti Innovation Days to explore microelectronics’ transformational role
Quantum expansion
indie launches 'breakthrough' 120 GHz radar transceiver
Wafer fab equipment - facing uncertain times?
Renesas expands focus on India
Neuralink selects Takano Wafer Particle Measurement System
Micron reveals committee members
Avoiding unscheduled downtime in with Preventive Vacuum Service
NFC chip market size to surpass US$ 7.6 billion
Fujifilm breaks ground on new €30 million European expansion
Fraunhofer IIS/EAS selects Achronix embedded FPGAs
Siemens announces certifications for TSMC’s latest processes
EU Chips Act triggers further €7.4bn investment
ASE recognised for excellence by Texas Instruments
Atomera signs license agreement with STMicroelectronics
Gartner forecasts worldwide semiconductor revenue to decline 11% in 2023
CHIPS for America outlines vision for the National Semiconductor Technology Center
TSMC showcases new technology developments
Alphawave Semi showcases 3nm connectivity solutions
Greene Tweed to open new facility in Korea
Infineon enables next-generation automotive E/E architectures
Global AFM market to reach $861.5 million
Cepton expands proprietary chipset
Semtech adds two industry veterans to board of directors
Specialty gas expansion
×
Search the news archive

To close this popup you can press escape or click the close icon.
Logo
×
Logo
×
Register - Step 1

You may choose to subscribe to the Silicon Semiconductor Magazine, the Silicon Semiconductor Newsletter, or both. You may also request additional information if required, before submitting your application.


Please subscribe me to:

 

You chose the industry type of "Other"

Please enter the industry that you work in:
Please enter the industry that you work in: