+44 (0)24 7671 8970
More publications     •     Advertise with us     •     Contact us
 
News Article

Imec and ASML establish joint lab to advance EUV lithography

News


Belgian research institute Imec and lithographic system manufacturer ASML have announced the joint establishment of a research lab to advance EUV lithography technology and advance semiconductor scaling towards the post-3nm logic node.

The two entities will also use the new facility to analyse and solve technical challenges such as defects, reliability and yield to accelerate the industrialisation of EUV lithography for high-volume production.

The new lab will see researchers from both organisations experiment with EUV lithography at higher numerical aperture (NA) in the manufacturing of advanced nanoscale devices. Systems with a higher NA project EUV light onto the wafer under larger angles, improving resolution and enabling the printing of smaller features and smaller nanoscale devices. ASML’s new high-NA EUV system, EXE:5000, with an NA of 0.55, will therefore be installed in the lab to facilitate the research.

A cleanroom within the new lab will also be equipped with ASML’s newest and most advanced high-volume production dedicated EUV scanner, the NXE:3400B, with an NA of 0.33, a 250W light source, and a throughput of more than 125 wafers per hour. The system at the new lab will also be equipped with the latest alignment and levelling sensors to enable optimal process control at this high throughput, and facilitate the overlay matching of the system to that of the latest immersion scanner, the NXT:2000i, which will also be installed in the cleanroom next year.

ASML and Imec will also expand the capability of the new ASML YieldStar optical metrology and ASML-HMI multi-electron beam metrology equipment, enabling more accurate and faster evaluation of nanoscale structures.

In 2014, the two organisations created a joint research centre, the Advanced Patterning Center, to optimise lithography technology for advanced CMOS integration and to prepare the ecosystem to support advance patterning requirements.

‘ASML and Imec have a nearly 30-year long tradition of joint research, leading to breakthrough patterning research to advance the semiconductor industry roadmap,’ remarked Luc Van den hove, president and CEO of Imec. ‘The new EUV scanners and ASML metrology equipment will allow our industry partners to perform collaborative research on the most advanced and industry relevant lithography and metrology equipment.’

Martin van den Brink, president and CTO at ASML added: ‘We are very pleased to take this next step in our long and deep collaboration with Imec. Access to the most advanced semiconductor lithography tools is vital for exploration and determining the paths to future generations of semiconductor devices and applications. Imec’s researchers and customers can be sure of the most up to date holistic lithography technology for many years to come. The semiconductor industry and consumers and businesses around the world will benefit from the fruits of Imec’s work over the next decade, resulting in continuing improvements in microchip cost and performance.’

ASML has also been working with laser manufacturer Trumpf, to develop EUV lithography systems at 13.5nm using CO2 laser technology. These systems will be used in making the next generation of high-speed computer processors, which will be crucial for addressing the high signal and data processing demands of the autonomous vehicles and mobile devices of the future.

Purdue, imec, Indiana announce partnership
Resilinc partners with SEMI on supply chain resilience
NIO and NXP collaborate on 4D imaging radar deployment
Panasonic Industry digitally transforms with Blue Yonder
Global semiconductor sales decrease 8.7%
MIT engineers “grow” atomically thin transistors on top of computer chips
Keysight joins TSMC Open Innovation Platform 3DFabric Alliance
Leti Innovation Days to explore microelectronics’ transformational role
Quantum expansion
indie launches 'breakthrough' 120 GHz radar transceiver
Wafer fab equipment - facing uncertain times?
Renesas expands focus on India
Neuralink selects Takano Wafer Particle Measurement System
Micron reveals committee members
Avoiding unscheduled downtime in with Preventive Vacuum Service
NFC chip market size to surpass US$ 7.6 billion
Fujifilm breaks ground on new €30 million European expansion
Fraunhofer IIS/EAS selects Achronix embedded FPGAs
Siemens announces certifications for TSMC’s latest processes
EU Chips Act triggers further €7.4bn investment
ASE recognised for excellence by Texas Instruments
Atomera signs license agreement with STMicroelectronics
Gartner forecasts worldwide semiconductor revenue to decline 11% in 2023
CHIPS for America outlines vision for the National Semiconductor Technology Center
TSMC showcases new technology developments
Alphawave Semi showcases 3nm connectivity solutions
Greene Tweed to open new facility in Korea
Infineon enables next-generation automotive E/E architectures
Global AFM market to reach $861.5 million
Cepton expands proprietary chipset
Semtech adds two industry veterans to board of directors
Specialty gas expansion
×
Search the news archive

To close this popup you can press escape or click the close icon.
Logo
×
Logo
×
Register - Step 1

You may choose to subscribe to the Silicon Semiconductor Magazine, the Silicon Semiconductor Newsletter, or both. You may also request additional information if required, before submitting your application.


Please subscribe me to:

 

You chose the industry type of "Other"

Please enter the industry that you work in:
Please enter the industry that you work in: