+44 (0)24 7671 8970
More publications     •     Advertise with us     •     Contact us
 
News Article

Imec presents forksheet device as solution to push scaling towards 2 nm technology node

News

At the 2019 IEEE International Electron Devices Meeting, imec, a world-leading research and innovation hub in nanoelectronics and digital technologies, presents first standard cell simulation results of its forksheet device designed for sub-3 nm logic technology nodes. Compared to nanosheet devices, the reduced n-to-p spacing results in a 10 percent performance increase.

When combined with scaling boosters, the new device architecture will bring logic standard cell height down to 4.3 tracks, which combined with cell template optimization can result in more than 20 percent area reduction. The results value the forksheet architecture as a potential solution to extend the scalability of nanosheet structures beyond the 3 nm logic technology node.

The forksheet device has recently been proposed by imec as a natural extension of vertically stacked lateral gate-all-around nanosheet devices. Contrary to the gate-all-around nanosheet device, in the forksheet, the nanosheets are now controlled by a tri-gate forked structure, realized by introducing a dielectric wall in between the P- and NMOS devices before gate patterning. This wall physically isolates the p-gate trench from the n-gate trench, allowing a much tighter n-to-p spacing – a challenge that could not be answered with FinFET or nanosheet structures. Because of this reduced n-to-p separation, the forksheet is expected to have superior area and performance scalability.

For the first time, standard cell simulations confirm this excellent power-performance-area (PPA) potential of the forksheet device architecture. The device under study targets imec’s 2 nm technology node, using a contacted gate pitch of 42 nm and a 5T standard cell library with a metal pitch of 16nm. The proposed design includes scaling boosters such as buried power rails and wrap around contacts. Compared to a nanosheet device, a 10 percent speed gain (at constant power) and a 24 percent power reduction (at constant speed) is reported. The performance boost can be partly explained by a reduced miller capacitance, resulting from a smaller gate-drain overlap. Finally, the n-to-p separation reduction can be used to reduce the track height from 5T to 4.3T.

Further layout optimization exploiting the structure of the device enables more than 20 percent cell area reduction. When implemented in an SRAM design, the simulations reveal a combined cell area scaling and performance increase of 30 percent for 8nm p-n spacing.

“As industry scales from planar to FinFET to vertically stacked nanosheets, the fork-sheet concept is considered non-disruptive extension”, says Julien Ryckaert, Program director 3D hybrid scaling at imec. “The nanosheet device has mainly been introduced to improve electrostatic control and drive strength. But both for FinFET and nanosheet architectures feature a large n-to-p device separation distance hindering further scalability. The forksheet architecture is one way to address this challenge and can be considered the ultimate logic ‘universal’ CMOS device beyond 2nm. Continuing scaling beyond the forksheet device, we propose the complementary FET (or CFET) as a device cadidate.” The process flow for the forksheet is similar to the one of a nanosheet device, with only limited additional process steps.

This work is part of imec’s logic INSITE R&D program targeting design-technology co-optimization (DTCO) for beyond 3nm technology nodes.

Figure 1 – From FinFET to nanosheet (with buried power rails (BPRs)), forksheet and CFET.

Figure 2 – Layout of SRAM half cells for a) FinFET, b) gate-all-around nanosheet and c) forksheet. The forksheet can provide up to 30% scaling of the bit cell height as the p-n space is not governed by gate extension (GE), gate cut (GE) or dummy fin gate tuck (DFGT).


Purdue, imec, Indiana announce partnership
Resilinc partners with SEMI on supply chain resilience
NIO and NXP collaborate on 4D imaging radar deployment
Panasonic Industry digitally transforms with Blue Yonder
Global semiconductor sales decrease 8.7%
MIT engineers “grow” atomically thin transistors on top of computer chips
Keysight joins TSMC Open Innovation Platform 3DFabric Alliance
Leti Innovation Days to explore microelectronics’ transformational role
Quantum expansion
indie launches 'breakthrough' 120 GHz radar transceiver
Wafer fab equipment - facing uncertain times?
Renesas expands focus on India
Neuralink selects Takano Wafer Particle Measurement System
Micron reveals committee members
Avoiding unscheduled downtime in with Preventive Vacuum Service
NFC chip market size to surpass US$ 7.6 billion
Fujifilm breaks ground on new €30 million European expansion
Fraunhofer IIS/EAS selects Achronix embedded FPGAs
Siemens announces certifications for TSMC’s latest processes
EU Chips Act triggers further €7.4bn investment
ASE recognised for excellence by Texas Instruments
Atomera signs license agreement with STMicroelectronics
Gartner forecasts worldwide semiconductor revenue to decline 11% in 2023
CHIPS for America outlines vision for the National Semiconductor Technology Center
TSMC showcases new technology developments
Alphawave Semi showcases 3nm connectivity solutions
Greene Tweed to open new facility in Korea
Infineon enables next-generation automotive E/E architectures
Global AFM market to reach $861.5 million
Cepton expands proprietary chipset
Semtech adds two industry veterans to board of directors
Specialty gas expansion
×
Search the news archive

To close this popup you can press escape or click the close icon.
Logo
×
Logo
×
Register - Step 1

You may choose to subscribe to the Silicon Semiconductor Magazine, the Silicon Semiconductor Newsletter, or both. You may also request additional information if required, before submitting your application.


Please subscribe me to:

 

You chose the industry type of "Other"

Please enter the industry that you work in:
Please enter the industry that you work in: