+44 (0)24 7671 8970
More publications     •     Advertise with us     •     Contact us
 
News Article

AMAT's NMOS process could revolutionise transistors

News

The firm's new NMOS epitaxy deposition process enables faster manufacturing for transistors in next-generation mobile processor chips


Applied Materials is extending its decade-long leadership in epitaxial (epi) technology with a newly developed NMOS transistor application for its Applied Centura RP Epi system.

This capability supports the industry's move to extend epi deposition from PMOS transistors to NMOS transistors at the 20nm node, enabling chipmakers to build faster devices and deliver next-generation mobile computing power.

"Epi is an essential building block for high-performance transistors, delivering a gain in speed equivalent to that obtained by scaling half a device node," says Steve Ghanayem, vice president, Transistor and Metallisation Products in Applied Materials' Silicon Systems Group. "By implementing an NMOS epi process in addition to established PMOS epi, we're enabling foundry customers to further enhance their transistor performance for next-generation devices."

Since the 90nm device node, strained selective epi films with in situ doping have improved mobility and reduced electrical resistance in PMOS transistors, thereby increasing their speed. Applying selective epi in NMOS transistors delivers a similar boost, which enhances overall chip performance.

By delivering this enabling technology for both types of transistors, Applied Materials is supporting industry efforts to meet the ever increasing demand for faster and greater computational power for multi-functional mobile products. This increase in performance helps our customers to deliver advanced capabilities, such as improved multi-tasking and higher-quality graphics and image processing.

The Centura RP Epi system is one of the leaders in PMOS epi applications. The company's portfolio now includes selective deposition of films in targeted regions of NMOS transistors.

Applied Materials' proprietary epi technologies enable deposition of high quality strained films with precise placement of dopant atoms. Tight manufacturing process controls result in excellent film properties, uniformity, and exceptionally low defect levels. These qualities resolve multiple performance issues, including resistivity of critical electrical layers.



Centura RP Epi System

Central to the market leadership of the Applied Centura RP Epi system is its integrated low-temperature pre-clean Siconi technology. Integrating the pre-clean and epi processes on the same vacuum platform, eliminates queue time and reduces interfacial contamination by more than an order of magnitude over stand-alone systems, creating pristine silicon surfaces for defect-free epi crystal growth. 

Applied Materials provides innovative equipment, services and software to enable the manufacture of advanced semiconductor, flat panel display and solar photovoltaic products. The firm's technologies are used in smartphones, flat screen TVs and solar panels.

Purdue, imec, Indiana announce partnership
Resilinc partners with SEMI on supply chain resilience
NIO and NXP collaborate on 4D imaging radar deployment
Panasonic Industry digitally transforms with Blue Yonder
Global semiconductor sales decrease 8.7%
MIT engineers “grow” atomically thin transistors on top of computer chips
Keysight joins TSMC Open Innovation Platform 3DFabric Alliance
Leti Innovation Days to explore microelectronics’ transformational role
Quantum expansion
indie launches 'breakthrough' 120 GHz radar transceiver
Wafer fab equipment - facing uncertain times?
Renesas expands focus on India
Neuralink selects Takano Wafer Particle Measurement System
Micron reveals committee members
Avoiding unscheduled downtime in with Preventive Vacuum Service
NFC chip market size to surpass US$ 7.6 billion
Fujifilm breaks ground on new €30 million European expansion
Fraunhofer IIS/EAS selects Achronix embedded FPGAs
Siemens announces certifications for TSMC’s latest processes
EU Chips Act triggers further €7.4bn investment
ASE recognised for excellence by Texas Instruments
Atomera signs license agreement with STMicroelectronics
Gartner forecasts worldwide semiconductor revenue to decline 11% in 2023
CHIPS for America outlines vision for the National Semiconductor Technology Center
TSMC showcases new technology developments
Alphawave Semi showcases 3nm connectivity solutions
Greene Tweed to open new facility in Korea
Infineon enables next-generation automotive E/E architectures
Global AFM market to reach $861.5 million
Cepton expands proprietary chipset
Semtech adds two industry veterans to board of directors
Specialty gas expansion
×
Search the news archive

To close this popup you can press escape or click the close icon.
Logo
×
Logo
×
Register - Step 1

You may choose to subscribe to the Silicon Semiconductor Magazine, the Silicon Semiconductor Newsletter, or both. You may also request additional information if required, before submitting your application.


Please subscribe me to:

 

You chose the industry type of "Other"

Please enter the industry that you work in:
Please enter the industry that you work in: