+44 (0)24 7671 8970
More publications     •     Advertise with us     •     Contact us
 
News Article

EV Group on a roll and to expand tools for 450mm

News

The demand for flexible, high-volume manufacturing solutions in 3D-IC/advanced packaging, MEMS, power device and compound s
emiconductor markets is driving growth



EV Group (EVG), a supplier of wafer bonding and lithography equipment for the MEMS, nanotechnology and semiconductor markets, has achieved strong revenue growth and expanded its headcount for the first half of 2013. 

The company attributes this success to continuing demand for its flexible process solutions designed to address high-volume manufacturing (HVM) needs across multiple markets - including 3D-ICs, MEMS, power devices and compound semiconductors. 

EVG's latest technology innovations that address these and other markets will be showcased this week at SEMICON West 2013 at the Moscone Convention Centre in San Francisco.

In addition to unveiling a series of new solutions, EVG also says it continues to expand its wafer processing services and process development consultation capabilities worldwide as part of the company's long-term growth strategy.

"2013 has been a strong year for EV Group as we continue to invest in new technologies and capabilities to support our customers' ability to ramp next-generation devices to volume production quickly and cost-effectively at high yields," says Dave Kirsch, vice president and general manager of EV Group North America.

"This requires not only leading-edge process equipment but also world-class global support and process development services. EVG's local teams work hand in hand with our corporate headquarters to provide increased flexibility and capability for our customers. That includes our ability to offer small-scale and pilot-production services at our global applications labs, which is a key differentiator for us and a key value proposition for customers," he continues to explain.

Expanding sales growth and global customer support operations

During the first half of 2013, EVG achieved approximately 10 percent growth in sales and more than 10 percent increase in employees. To support its customers' roadmaps, EVG continues to invest aggressively in research and development - approximately 20 percent of sales - in several key efforts, including 450mm tool development.

Among these efforts, EVG has invested in new state-of-the art cleanrooms and application labs with in-house process demo capability on fully automated systems at its corporate headquarters in Austria, as well as its regional headquarters in Japan and North America.

EVG's dedication to customer service and support was acknowledged when the company was again recognised in VLSIresearch's annual Customer Satisfaction Survey - this year as one of the 10 BEST Focused Suppliers of Chip Making Equipment. EVG was also ranked in first place in the "Other Silicon Wafer Fab Equipment" category for the company's wafer bonding solutions.

Wafer bonding

Already a supplier of HVM wafer bonding solutions, EVG recently unveiled several new platform developments in both fusion bonding and temporary bonding/debonding applications. The firm unveiled the latest version of its EVG40NT automated measurement system, which features improved specifications to achieve the highest wafer-to-wafer alignment accuracies needed for the production of next-generation 3D integrated image sensors and stacked memory devices. 

The EVG40NT is seamlessly integrated with EVG's GEMINI FB automated production fusion bonding system to enable a closed-loop control system that facilitates customers' ramp to volume production across multiple markets and applications. Last week, EVG also introduced its LowTemp debonding platform, which features three high-volume-production room-temperature debonding process types and is supported by a supply chain of seven qualified adhesive suppliers to enable greater manufacturing flexibility.

Lithography and resist processing

Building upon the company's expertise in lithography, EVG also recently unveiled the EVG120 automated resist processing system, which integrates spin/spray coating and wet processing to provide a highly flexible system that maximises productivity and cost of ownership. The EVG120 is ideally suited for a wide variety of markets and applications, including high-topography coating and spray coating for MEMS, thick-film resists and bumping for advanced packaging. It is also suited for passivation, dielectrics and thick-film processing for compound semiconductor devices.

Rounding out EVG's latest developments in wafer surface preparation, the company also recently announced the CoatsClean wafer cleaning solution, which combines process, equipment and formulation technology to deliver an innovative, low-cost-of-ownership approach to single-wafer photoresist and residue removal. 

Co-developed with Dynaloy, CoatsClean is designed to address thick films and difficult-to-remove material layers for the 3D-IC/through-silicon via (TSV), advanced packaging, MEMS and compound semiconductor markets.

Presentations at SEMICON West 2013

EVG will be at booth #819 in the Moscone South Hall at SEMICON West.

Markus Wimplinger, corporate technology development and IP director of EVG, will present "High Resolution In-line Metrology Module for High-Volume Temporary Bonding Applications" at the SEMATECH Workshop on 3D Interconnect Metrology on Wednesday, July 10th from 11:20 to 11:40 a.m. at the Marriott Marquis in San Francisco.

In addition, Thorsten Matthias, business development director at EV Group, will present "From Sensor Fusion to System Fusion" at the TechXPOT session "MEMS and Sensor Packaging for the Internet of Things" on Thursday, July 11th from 12:10 - 12:30 p.m. in the Moscone North Hall.


Purdue, imec, Indiana announce partnership
Resilinc partners with SEMI on supply chain resilience
NIO and NXP collaborate on 4D imaging radar deployment
Panasonic Industry digitally transforms with Blue Yonder
Global semiconductor sales decrease 8.7%
MIT engineers “grow” atomically thin transistors on top of computer chips
Keysight joins TSMC Open Innovation Platform 3DFabric Alliance
Leti Innovation Days to explore microelectronics’ transformational role
Quantum expansion
indie launches 'breakthrough' 120 GHz radar transceiver
Wafer fab equipment - facing uncertain times?
Renesas expands focus on India
Neuralink selects Takano Wafer Particle Measurement System
Micron reveals committee members
Avoiding unscheduled downtime in with Preventive Vacuum Service
NFC chip market size to surpass US$ 7.6 billion
Fujifilm breaks ground on new €30 million European expansion
Fraunhofer IIS/EAS selects Achronix embedded FPGAs
Siemens announces certifications for TSMC’s latest processes
EU Chips Act triggers further €7.4bn investment
ASE recognised for excellence by Texas Instruments
Atomera signs license agreement with STMicroelectronics
Gartner forecasts worldwide semiconductor revenue to decline 11% in 2023
CHIPS for America outlines vision for the National Semiconductor Technology Center
TSMC showcases new technology developments
Alphawave Semi showcases 3nm connectivity solutions
Greene Tweed to open new facility in Korea
Infineon enables next-generation automotive E/E architectures
Global AFM market to reach $861.5 million
Cepton expands proprietary chipset
Semtech adds two industry veterans to board of directors
Specialty gas expansion
×
Search the news archive

To close this popup you can press escape or click the close icon.
Logo
×
Logo
×
Register - Step 1

You may choose to subscribe to the Silicon Semiconductor Magazine, the Silicon Semiconductor Newsletter, or both. You may also request additional information if required, before submitting your application.


Please subscribe me to:

 

You chose the industry type of "Other"

Please enter the industry that you work in:
Please enter the industry that you work in: