+44 (0)24 7671 8970
More publications     •     Advertise with us     •     Contact us
 
News Article

Optimism Reigns Supreme at SEMICON West 2014

News

After a few turbulent years there were signs of tangible optimism in San Francisco this year as manufacturers expressed relief that success now could be defined as much by a product's merit as it would be by the bottom line. Mark Andrews, USA correspondent reports.

With banner year predictions ringing in their ears exhibitors and attendees at SEMICON West 2014 (8-10 July, San Francisco, CA) saw the event as the most optimistic since global semiconductor manufacturing equipment orders pushed sales to new highs in 2010.

At the start of 2014's conclave SEMI's Industry and Research arm said growth in global semiconductor spending should range from 8-10 percent. But the big news for SEMICON would be how overall growth would benefit fab suppliers and equipment manufacturers. SEMI predicted capital spending on-track to set records of more than 20 percent growth in 2014 and nearly 11 percent in 2015.

Also big: for the first time since 2010 all major global manufacturing regions should see growth, reversing the largely-negative equipment spending trends seen in 2012 and 2013 in many areas.

While new fab growth is not accelerating, spending to upgrade existing systems will lead the charge this year and next. Replacement equipment will also flow into fabs that don't see brick and mortar expansions.

More money is also going into retooling "˜legacy' 200mm systems to take advantage of continuing opportunities in mobile device applications such as MEMS, power management and CIS (CMOS Image Sensors), as well as analog products. 

Even before main stage speakers lauded the numbers, 2014's mood was lighter than previous years. Company after company interviewed by this magazine shared growth stories and new product optimism. Practically no one hedged bets or "˜spoke in footnotes' off-record.

While the debate rages about whether major manufacturers will continue "˜Moore's March' to smaller gate geometries, and how quickly this will unfold, fab equipment vendors have clearly moved toward supporting wide-ranging needs in 200mm and 300mm plants. Unique abilities to support non-planar devices were another factor cited in some exhibitors' success recipes.

KLA-Tencor's Chief Marketing Officer, Brian Trafas, touted his company's latest solutions to detect and categorize defects. The aggregate benefits of four newly announced systems manifested in substantially reduced scan time and overall improved performance. Taking pre-manufacturing quality processes down to one hour may sound like modest progress until one considers the scale and history of past upgrades multiplied by the challenges of smaller gate sizes. As Trafas put it, the game is all about scale, speed and accuracy.

"Imagine looking for a defect, something the size of a dime instead of its true nanometer-scale dimensions. If the defect was dime-size the wafer would have a 100-mile radius. Now imagine the million or more calculations that are required to do this and the fact we can enable this to take place in a fraction of the time it did before. These are very significant gains," he remarked.

Like many vendors at SEMICON West, Trafas focused on a new era of working more closely with customers to deliver information faster, more reliably and with even greater consistency because there is so much at stake when architectures shrink from 16nm to 14nm and smaller.

At Edwards Vacuum Global Applications Director Kate Wilson explained how Edwards is seeing great variety in the ways manufacturers travel different roads to get to the same destination (same product type); handling that sort of diversity is key. The future will be shaped by how well vendors meet quality, efficiency and consistency targets even as manufacturers consolidate.

Mike Allison, Edwards' Group Vice President for Semiconductor and Emerging Technology, was focusing on an even larger vision of what manufacturers are asking key partners, noting his company's double-digit growth when the industry as a whole saw less growth in 2013. 

"The electricity budget for an average manufacturer can involve 12% or more for vacuum systems. And yes our new vacuums can reduce power consumption 60%, which makes customers very happy.'

"Longer-range, it's about understanding every aspect of the process beyond vacuums and compressors; it's about how all these systems fit together"”to have teams in place who understand every aspect and who can talk to the etch guy or the apps guy or anyone in the plant. (Success will be driven) by providing a total cost of ownership, faster ramps as well as simpler ways of doing business," he remarked.

Vendors supporting research as well as mainline manufacturing include IPG Microsystems/IPG Photonics, where Sales Manager Josh Stearns was excited about his company's new IX-280-ML dual laser micromachining system. It allows customers to mount two different lasers for cutting, shaping, dicing and other types of lasering. The flexibility has led to interest from R&D agencies that appreciate multitasking and full production manufacturing that seeks different materials micromachining in one system.

"We expect formal deliveries to initial customers soon," he remarked. "Having the dual lasers, working together, increases speed. Their flexibility means customers can reconfigure easily as they move from one program to another."

Innovation was also on display at the Intersolar North American Conference where GT Advanced Technologies (GTAT) was proclaiming the benefits of its new Merlin Metallization and Interconnect technology designed to substantially reduce the amount of silver and silver paste that goes into PV modules.

GTAT's new system saves by replacing the three large bus bars along with conventional stringing and tabbing by employing standard screen printing techniques to place an array of silver fingers upon a silicon wafer. A silver plated copper mesh goes on top of the fingers with a single tab at the base to connect cells and collect electricity.

"The Merlin approach increases efficiency, reduces weight and substantially reduces the amount of silver used compared to conventional solar panels," said Venkatesan Murali, Ph.D., Senior Vice President and General Manager of GTAT in San Jose, CA. "Silver paste can be reduced up to 80 percent. The panels are much less rigid, more flexible using Merlin...Weight can be cut up to 50 percent. Eliminating the bus bars reduces shading, which of course increases panel efficiency."

GTAT noted that their Merlin system uses conventional solar panel manufacturing techniques and what they project as a "˜small capital investment' to retool. But because completely new techniques are not employed GTAT believes manufacturers will save by not having to go through the sometimes lengthy process of qualifying radical new techniques, not to mention lower silver, shipping and breakage costs common to more rigid and larger conventional panels.

While GTAT offered a different approach to solar panel design, leading precious metals provider Heraeus offered a new generation of silver paste designed for LDE and PERC applications.

Representing Heraeus at Intersolar North America was Andreas Liebheit, the company's Senior Vice President and Photonics Global Business Unit Manager. He noted that the progress made in two new pastes Heraeus was releasing, the SOL9620 series and SOL9621 series, grew out of a collaborative effort with designers and manufacturers.

"The new silver paste drives higher efficiency in the migration of electrons; in each new generation we strive to increase efficiency...The "˜magic' is in the chemistry...A (PV) panel that once could output 245 Watts can now deliver 280 Watts...in residential applications this could help eliminate a whole panel and further lower costs," said Liebheit.

With the myriad of technology leaders at SEMICON West and Intersolar North America there was no shortage of innovative solutions. While attendees heard reports on the progress towards Extreme Ultraviolet (EUV) and Atomic Level Deposition (ALD) techniques for creating 3D devices, wafer bonding and lithography leader EV Group was touting its latest achievement the company believes will enable 3D devices with through-silicon vias (TSVs) supporting stacked DRAM, MEMS and future CMOS image sensor (CIS) systems.

EV Group's Executive Technology Director Paul Lindner said his company's new GEMINI®FB XT fusion wafer bonding platform combines several performance breakthroughs. Lindner explained the new system delivers a three-fold improvement in wafer-to-wafer bonding compared to earlier systems as well as a 50 percent throughput increase, underscoring high volume manufacturing (HVM) potential.

"3D-IC/TSV integration has emerged as a promising approach...Yet enabling it for emerging memory and logic applications is impossible without tight wafer-to-wafer alignment. Our new GEMINI FB XT platform marks a major milestone along that path," he said.

Linde Group's Carl Jackson, Vice President and Head of Customer Solutions, noted the changes he is seeing as customers move towards sub-20nm devices. While his company is known globally for consistency, accuracy, safety and high-quality materials, he's seeing more of a push from customers for new definitions of quality.

"It's not just about consistency, it's about repeatability. Not just the same type gas, but the exact same gas (at a molecular level), which is challenging. For the customer and Linde it's about total control of the supply chain. It really is a matter of taking out the mitigating factors so you can consistently predict what you'll get, which is more critical than ever as devices decrease in size," Jackson remarked.

Over at Henkel Electronics Group excitement centred on their new Loctite Eccobond non-conductive paste (NCP) 5209 that they believe delivers 15 percent better underfill protection for flip-chip devices.

Matt Hayward, Henkel's Semiconductor Liquids Global Product Manager, said that as more flip-chip devices are employed because of their ultra-fine pitches manufacturers are often relying on pre-applied underfills for greater protection and reliable electrical connections.

 "A non-capillary underfill approach is essential for manufacturers who wish to employ finer-pitch flip-chip devices (gaps of less than 40 um and pitches of less than 100 um). The ability to effectively protect all copper bumps, in tandem with improved electrical performance, are key improvements that our customers are benefiting from," said Hayward.

The sense of optimism frequently heard at SEMICON West and Intersolar North America in 2014 was a welcome contrast with prior years that saw vendors challenged to deliver great products and services under eroding prices driven by global economic conditions. As manufacturers this year offered new solutions to familiar and emerging challenges there was relief that success could be defined as much by a product's merit as it would be by the bottom line.

Purdue, imec, Indiana announce partnership
Resilinc partners with SEMI on supply chain resilience
NIO and NXP collaborate on 4D imaging radar deployment
Panasonic Industry digitally transforms with Blue Yonder
Global semiconductor sales decrease 8.7%
MIT engineers “grow” atomically thin transistors on top of computer chips
Keysight joins TSMC Open Innovation Platform 3DFabric Alliance
Leti Innovation Days to explore microelectronics’ transformational role
Quantum expansion
indie launches 'breakthrough' 120 GHz radar transceiver
Wafer fab equipment - facing uncertain times?
Renesas expands focus on India
Neuralink selects Takano Wafer Particle Measurement System
Micron reveals committee members
Avoiding unscheduled downtime in with Preventive Vacuum Service
NFC chip market size to surpass US$ 7.6 billion
Fujifilm breaks ground on new €30 million European expansion
Fraunhofer IIS/EAS selects Achronix embedded FPGAs
Siemens announces certifications for TSMC’s latest processes
EU Chips Act triggers further €7.4bn investment
ASE recognised for excellence by Texas Instruments
Atomera signs license agreement with STMicroelectronics
Gartner forecasts worldwide semiconductor revenue to decline 11% in 2023
CHIPS for America outlines vision for the National Semiconductor Technology Center
TSMC showcases new technology developments
Alphawave Semi showcases 3nm connectivity solutions
Greene Tweed to open new facility in Korea
Infineon enables next-generation automotive E/E architectures
Global AFM market to reach $861.5 million
Cepton expands proprietary chipset
Semtech adds two industry veterans to board of directors
Specialty gas expansion
×
Search the news archive

To close this popup you can press escape or click the close icon.
Logo
×
Logo
×
Register - Step 1

You may choose to subscribe to the Silicon Semiconductor Magazine, the Silicon Semiconductor Newsletter, or both. You may also request additional information if required, before submitting your application.


Please subscribe me to:

 

You chose the industry type of "Other"

Please enter the industry that you work in:
Please enter the industry that you work in: